REKLAMA

regulacja generator

Znaleziono około 3392 wyników dla: regulacja generator
  • Generator 40kHz (procesor czy CMOS 4093 ?)

    W załączniku daję schemat sonaru. Ja mam zamiar skorzystać z nieco innego procka (ATmega8). Czy muszę montować ten cały generator, czy nie możnaby zrobić go programowo? Nie znalazłem w internecie specyfikacji do 40ST-10 ale wydaje mi się że to nie ma znaczenia... Po co marnować czas i pieniądze i męczyć się z regulacją generatora?

    Początkujący Elektronicy   12 Paź 2005 16:26 Odpowiedzi: 0    Wyświetleń: 2157
  • Generator opcjonalny Megawave Atmega

    Na wstępie chciałbym przybliżyć, skąd taka a nie inna nazwa nadana przeze mnie temu projektowi. Prace rozpoczęły się w momencie zapotrzebowania na minimum dwa zsynchronizowane generatory małej częstotliwości. Ponieważ zakres przestrajania jaki mnie zadowalał ograniczał się do 100Hz przy rozdzielczości 0,01Hz wybór padł na zalegające w szufladzie ATMEGA....

    DIY Konstrukcje   08 Wrz 2012 12:24 Odpowiedzi: 3    Wyświetleń: 11595
  • Czujnik odbiciowy IR - pomiar odległości, regulacja jasności diody

    Własnie morduje sie z tym układem... kupiłem wzmacniacz LM358 i na nim zrobiłem regulację jasności diody (przetwornik CA jak w opisie wiązani) za przetwornikiem czyli na wyjściu wzmacniacza szeregowo dioda IR z opornikiem 200Ohm dalej za diodą kolektor tranzystora BC547 emiter do masy a baza przez rezystor 1k do generatora 36kHz zrobionego z Attiny13...

    Mikrokontrolery AVR   14 Sty 2012 20:16 Odpowiedzi: 24    Wyświetleń: 5887
  • REKLAMA
  • ZOPAN KZ-1405. Szukam opisu regulacji ze schematem generatora.

    szukam serwisowki (opisu regulacji) ze schematem generatora ZOPAN KZ-1405. z gory dzieki

    Schematu/instrukcji/artykułu   08 Gru 2004 07:15 Odpowiedzi: 2    Wyświetleń: 2327
  • [Sprzedam] Generatory dekadowe PW-9, PW-11, częstościomierz PFL-20, zasilacz KP16102

    Mam ma sprzedaż parę sprzętów: [1] Generator Dekadowy PW-9, rok prod. 1974. W 100% kompletny i w stanie oryginalnym, sprawny. Wymiary ok. 36x27x16cm, waga ok. 7,5kg. cena: 300zł [2] Generator dekadowy PW-11, rok prod. 1975. W 100% kompletny i w stanie oryginalnym, sprawny. Wymiary ok. 33x25x10cm, waga ok. 3kg. cena: 200zł [3] Laboratoryjny zasilacz...

    Elementy elektroniczne Bazar   14 Mar 2024 14:37 Odpowiedzi: 0    Wyświetleń: 132
  • Generator 1MHz Problem?????

    Witam Tv nordmende futura 55 chassis f17 podłaczyłem 1MHz pod 1 noge filtra SFE 5.5 Dzwięk sie pojawił ale z szumem Po dostrojeniu fine tuning dzwięk jest idealny ale obraz deczko sie rozstroił. Próbowałem 3 generatorów na wszystkich tak samo regulacja na filtrze generatora nie pomaga jak sie z tym uporac. w innych Tv nie mniałem problemu z tymi generatorkami

    Początkujący Serwisanci   16 Sie 2006 20:43 Odpowiedzi: 8    Wyświetleń: 1319
  • Generator VCO funkcji prostokątnej

    Powalczyłem jeszcze trochę z układem modyfikując go do poprawnej postaci, tak jak na rysunku widać. Przestrajanie w zakresie 1Hz - 20 kHz można uzyskać przez zmiany pojemności i odpowiednia regulację napięcia (generator VCO), a więc jak uzyskać (zrobić) w PSpice skokową regulacje pojemności (np. dekadowo) tak by pokryć całe pasmo pracy, uwzględniając...

    Początkujący Elektronicy   17 Cze 2010 21:29 Odpowiedzi: 3    Wyświetleń: 1579
  • Regulacja prędkości gąsienic joystickiem przód, tył

    Kolego ogólnie przyjętą zasadą jest pomiar napięcia między GND a danym punktem lub pomiędzy innym które informują o prawidłowej pracy układu, najważniejsze to wypada podać nie tylko wartość ale co mierzymy. Wszystkie jednostki pochodzące od nazwisk piszemy zawsze duża literą. napięcie wynosi ciągle 0,54v Gdzie ? Między kolektorem BC 547 a nóżka 3 LM324...

    Robotyka Modelarstwo i CNC   10 Kwi 2015 10:55 Odpowiedzi: 6    Wyświetleń: 1152
  • Piki prądowe prądu generatora synchronicznego przy różnego rodzaju zwarcia.

    Nie dodawaj pojemności bo dopiero wtedy powstaną oscylacje jak pojawią się dodatkowe zera w transmitancji. Jeżeli twierdzisz że oscylacje pochodzą od modelu mechanicznego to prawdopodobnie masz złe parametry (lub zbyt dużą czułość) w układzie regulacji obrotów generatora i stąd oscylacje w stanie ustalonym. Prawdopodobnie regulator zbyt gwałtownie reaguje...

    Elektro Maszyny i Urządzenia   03 Cze 2019 21:43 Odpowiedzi: 5    Wyświetleń: 1242
  • REKLAMA
  • Sterowanie obciążeniem generatora z Arduino i MOSFET: czy PWM zmienia prąd czy napięcie?

    Jeżeli chcesz ładować tylko akumulator, to po naładowaniu nie będzie już obciążał generatora więc będzie potrzebne dodatowe obciążenie. Każda przetwornica step up czy down ma małe "śrubeczki" do regulacji napięcia wyjściowego. Tą "srubeczką " ustawiasz sobie końcowe napięcie akumulatora. Przetwornice opisane CC CV mają jeszcze jedną "śrubeczkę " do...

    Początkujący Elektronicy   17 Gru 2022 21:47 Odpowiedzi: 24    Wyświetleń: 519
  • REKLAMA
  • Szukacz sygnału z generatorem

    Układ może znaleźć zastosowanie w różnych serwisach RTV do badania toru niskich częstotliwości - np. wzmacniacze audio tory m.cz w odbiornikach radiowych i telewizyjnych. Zawiera w swoim rozwiązaniu generator o częstotliwości 1kHz wykonany w formie multiwibratora z układem TL071. Regulację natężenia sygnału generatora regulujemy za pomocą P2. Sygnał...

    Artykuły   25 Gru 2003 21:16 Odpowiedzi: 1    Wyświetleń: 9136
  • Generator sinusoidalny. Czy ma ktoś schemat?

    Jeżeli mają to byc nieduże częstotliwości to polecam ATTiny15L, lub ATTiny26. Wykorzystujesz wtedy ich wewnętrzny PWM (pracuje > 100 KHz). Wpisujesz do Flasha tablicę wartości sinusa i co przerwanie podmieniasz wartości dla PWM'a. Dołączasz potem tylko filtr RC i generator gotowy ... Problemy: sygnał w miarę "przyzwoity" do max. 2kHz i niezbyt płynna...

    Mikrokontrolery   28 Cze 2006 19:19 Odpowiedzi: 11    Wyświetleń: 4038
  • Generator wysokiego napięcia

    W swoim czasie Czesi produkowali generatory WN do 50kV-ale jest to krzeslo elektryczne i wymaga szczegolnych zabezpieczen .-TESLA - BS - 222A posiada trafo i sterownik do regulacji napiecia

    Inne Serwis   23 Mar 2005 23:17 Odpowiedzi: 2    Wyświetleń: 1781
  • generator do 1kV

    witam. ma ktoś moze jakies schemaciki, projekty jakiegos prostego generatora, na okolo 1000V zasilany z 12V(akumulator) Dodano po 39 dobrze bylo by zeby byl z regulacją częstotliwości. pozdrawiam

    Początkujący Serwisanci   14 Lis 2005 12:55 Odpowiedzi: 4    Wyświetleń: 1207
  • Generator kwarcowy do konwertera

    Witam! A czy jest możliwość dołożenia potencjometru do regulacji siły sygnału generatora czy nie? Chyba nie zabardzo, ale nie jestem pewny...

    Projektowanie Układów   23 Sty 2006 08:40 Odpowiedzi: 10    Wyświetleń: 2127
  • Generator sygnałowy do strojenia odiorników

    Nie bardzo rozumiem co z tym "AF428 na wzmacniacz w.cz" ? Ten tranzystor pracuje chyba jako generator? Generator sygnałowy powinien być: 1. Stabilny (tak, aby można było zestroić p.cz.) 2. Generowany sygnał powinien być wolny od harmonicznych 3. Powinien umozliwiać regulację poziomu (napięcia) podawanego sygnału. 4. Powinien mieć odczyt częstotliwości,...

    Radiotechnika Serwis   12 Maj 2006 09:03 Odpowiedzi: 13    Wyświetleń: 12485
  • Generator fali pomocy bardzo potrzebna

    Witam wszystkich jestem tu nowy i mam nadzie ze dobrze umiescilem ten temacik otoz potrzebuje schemacikow generatora fali sinusoidalne, prostokatnej i trojkatnej najlepiej takich by mozna sprawdzic je w programie spice jesli mozecie pomoc bardzo dziekuje i czekam na odpowiedz Dodano po 4 najlepiej jakby byl z regulacja czestotliwosci. czy generatory...

    Początkujący Elektronicy   17 Sty 2007 12:21 Odpowiedzi: 6    Wyświetleń: 1467
  • Generator funkcji zasilany 1 napięciem stałym

    co do 1 posta to: generator ten ralizuje tylko jedną częstotliwość, wobec czego jest dla mnie zupełnie nieprzydatny niestety. Co do ICL8038 to, jeżeli macie jakiś sprawdzony schemacik to miło by było jakbyście podrzucili. Znalazłem coś takiego: Powiedzcie czy coś takiego ma prawo działać oraz czy jest na nim możliwośc regulacji częstotliwości generowanej.

    Początkujący Elektronicy   30 Wrz 2008 15:20 Odpowiedzi: 8    Wyświetleń: 4038
  • Poprawność schematu bufora do generatora funkcyjnego

    Witam. Koledzy mam pytanie jak w temacie czy ten układ ze schematu jest poprawny i czy będzie spełniał moje oczekiwania które są następujące: - regulacja amplitudy (z generatora wychodzi przebieg zmienny napięcia stałego z zakresu 0-5V) - zwiększenie wydajności prądowej na wyjściu układu (generator podaje sygnał prosto z procesora) - jak najmniejszych...

    Warsztat elektronika   14 Kwi 2016 18:04 Odpowiedzi: 3    Wyświetleń: 1479
  • [Kupię] Potencjometr regulacji częstotliwości do generatora PG-20 (główny)

    Czy ktoś wie gdzie można kupić taki specjalistyczny potencjometr. Od kiedy zrobiłem licencję krótkofalowca to ten przyrząd się przydaje. Kilka lat przeleżał w piwnicy. Jeśli nie oryginał to może ktoś ma coś podobnego .... info na maila : poczta małpa mariuszek kropka pl

    Elementy elektroniczne Bazar   04 Lip 2019 21:03 Odpowiedzi: 3    Wyświetleń: 399
  • Regulacja częstotliwości w generatorze funkcyjnym NE555

    Witam, mam taki schemat generatora na NE555 lecz posiada on jedynie regulację amplitudy. Czy jest jakiś prosty sposób na dorobienie dodatkowego potencjometru aby regulował on częstotliwość? Z góry dziękuję za pomoc.

    Początkujący Elektronicy   23 Lut 2020 23:33 Odpowiedzi: 5    Wyświetleń: 609
  • Philips 70FC444 - generator prądu podkładu źle działa

    Odłączyłem na próbę obwód prądu podkładu, pozostawiając samą głowicę kasującą w układzie. Bez zmian, nagranie nie zostaje skasowane. Próba regulacji częstotliwości pracy generatora podkładu daje niewielkie różnice, rzędu 130,5 - 131 kHz, jeśli oczywiście wierzyć multimetrowi. Po odłączeniu również głowicy kasującej, napięcia w obwodzie pozostają bez...

    Audio Początkujący   08 Lis 2021 08:58 Odpowiedzi: 12    Wyświetleń: 594
  • [Kupię] Tda1170S - Szukam układu scalonego z regulacją hold, bez generatora

    Bez generatora z regulacją hold

    TV Bazar   29 Lis 2022 09:48 Odpowiedzi: 2    Wyświetleń: 303
  • Cewka regulowana 22 mH,czy można zastąpić zwykłym dławikiem 22 mH bez regulacji

    Potrzebowali regulacji, kondensatory nastawne nie osiągają tak wysokich pojemności, to musieli zastosować regulowaną. Współcześnie nie stosuje się takich metod regulacji, ani do generatorów, ani nie robi się filtrów LC, ręcznie dostrajanych. Dlatego nie ma już takich cewek. Zostaję skazany na kombinowanie. Dobieranie kondensatorów, w porównaniu z kręceniem...

    Projektowanie Układów   05 Mar 2023 18:11 Odpowiedzi: 10    Wyświetleń: 375
  • Generator 3 sinusów 50Hz przesuniętych o 120 stopni - wzmacniacz operacyjny czy pamięci z D/A?

    (at)przem188 w układach z trzema stopniami przesuwającymi fazę trzeba by zmieniać trzy na raz. Masz rację, kondensator i rezystor na wejściu WO odpowiadają za to. (at)leszekw Co będzie lepsze zależy od szczegółowych wymagań odnośnie tego generatora a te zależą od zastosowania. Analogowy jest prosty w budowie, ale trudny w regulacji jakichkolwiek parametrów.

    Projektowanie Układów   13 Maj 2023 11:36 Odpowiedzi: 12    Wyświetleń: 606
  • Zlecenie wykonania przetwornicy DC/DC z regulacją napięcia dla generatora wiatrowego 3kW, 3 fazy

    Witam szerokie grono fachowców. Zlecę wykonanie przetwornicy DC/DC z regulacją napięcia startu dla generatora wiatrowego o mocy 3 kW, 3 fazy. Generator wiatrowy jest już spięty z kontrolerem, był też spięty z falownikiem DEYE. Przy słabym wietrze nie było wystarczającego napięcia do otwarcia bramki MPPT (bramka otwiera się przy 200 V). Przetwornica...

    Energia Odnawialna   04 Wrz 2023 08:53 Odpowiedzi: 3    Wyświetleń: 180
  • Generator pasow pionowych video.

    Witam. Poszukuje schematu bądz urzadzenia ktore by nakladalo w wskazanym przezemnie miejscu czarne poziome pasy z mozliwoscia regulacji wysokosci pasa.

    TV Serwis   25 Kwi 2007 13:13 Odpowiedzi: 1    Wyświetleń: 948
  • generator potrzebny schemat

    Aby uzyskać 50 Hz musisz dobrać elementy R C . Pomocny program do obliczenia ich Np 100kΩ i 100nF daje około 55Hz. Możesz dać 100nF i potencjometr 220kΩ, masz regulacje f.

    Projektowanie Układów   07 Cze 2008 09:08 Odpowiedzi: 36    Wyświetleń: 12324
  • generator pod mikrokontroler 8051 - jak to zrobić?

    Sinusa moze i zrobisz, ale on bedzie wzgledem osi 2,5V a nie 0V Pozatym, to lepiej by bylo uzyc potencjometru do regulacji czestotliwosci ;)

    Mikrokontrolery   19 Kwi 2009 20:25 Odpowiedzi: 6    Wyświetleń: 1634
  • Generator z mostkiem Wiena-wygaszanie.

    Trochę dziwnie się zachowuje. Zastanawiam się, czy nie masz tam jeszcze jakichś nieliniowych elementów - stosujesz kondensatory ceramiczne? Albo czy nie przeciążasz wyjścia wzmacniacza. Jakie wartości elementów zastosowałeś? Masz zasilanie odprzęgnięte kondensatorami? Potencjometr sprawny? nie przerywa? Układ z diodami ma wprowadzać niewielką nieliniowość...

    Początkujący Elektronicy   20 Wrz 2012 08:08 Odpowiedzi: 13    Wyświetleń: 3861
  • Generator prądu zamontowany w kranie

    Tu mamy rozróżnienie ciepłą daje ciepłe światło a zimne też zimne - inteligentne sterownie oświetleniem od razu A pokrętło ściemniacza jest bezprzewodowe dosłownie z płynną regulacją jasności :)

    DIY Zagranica   21 Lut 2019 18:33 Odpowiedzi: 50    Wyświetleń: 50892
  • Generator PCF802 wg. schematu Neptun 221

    O częstotliwości i kształcie generowanego przebiegu (impuls - przerwa) decydują elementy: C300, C301, C302 oraz R301 i R302 Co w takim razie daje regulacja indukcyjności TR4?

    Elektronika Retro   05 Cze 2017 09:30 Odpowiedzi: 8    Wyświetleń: 1827
  • generator do odświeżacza powietrza 1,5s 6-12godzin przerwy

    genialnym pomysłem jest tak jak kolega napisał jest użycie układu ATtiny13 raptem z 5 elementów zasilanie od 2V i cena układu nawet jeśli by kupił programator ale zawsze to ale kolega nie ma na to czasu szczególnie testować układ tyle godzin a ja musiał bym się nauczyć programowania :| coś tam kiedyś widziałem w szkole ponad 15 lat temu :cry: więc moja...

    Początkujący Elektronicy   07 Lis 2018 04:44 Odpowiedzi: 3    Wyświetleń: 276
  • Generator arbitralny Rigol DG922 ProSponsorowany

    Generator arbitralny Rigol DG922 Pro Weż udział w konkursie NDN     "Oscyloskop bez granic" do 31 Marca 2024 Do wygrania atrakcyjne urządzenia ! Kliknij tu i dowiedz się więcej Rigol w natarciu. Po oscyloskopowych nowościach w postaci rodzin DHO800 i DHO1000 przyszedł czas na generatory. Atak na konkurencję jest mocny, bo parametry opisanego w artykule...

    Artykuły   12 Mar 2024 21:23 Odpowiedzi: 2    Wyświetleń: 1254
  • Klucz elektroniczny i oscylator, czyli budujemy generator.

    Schematu w zasadzie jeszcze nie ma :roll: Buduję (przynajmniej próbuję zaprojektować) sobie generatorek sinusa, trójkąta i prostokąta (max 40MHz) z regulacją amplitudy, częstotliwości i wypełnienia. Projekt bazuje na układzie MAX038 (datasheet ==> ). W założeniu jest regulacja częstotliwości (na potencjometrach) podstawowa (na pinie 10 Iin) i dokładna...

    Warsztat elektronika   16 Sie 2004 14:17 Odpowiedzi: 7    Wyświetleń: 3109
  • Generator funkcyjny

    Witam stoje przed wyborem generatora funkcji do mojego skromnego warsztatu i tutaj zwracam sie do was o sugestie co do generatorka.Jak narazie znalazłem dwa które mnie zaciekawiły pierwszy: SFG-2110 Zakres częstotliwości: 0,1Hz - 10MHz Przebiegi: sinus, trójkąt, prostokąt Wyjście TTL i CMOS Rozdzielczość: 100mHz Wysoka stabilność częstotliwości:...

    Inne Co kupić?   05 Cze 2007 09:02 Odpowiedzi: 1    Wyświetleń: 2601
  • Generator AEG 4/220b - Potrzebny regulator do prądnicy 250kVA

    Witam ponownie. Jak się okazało do agregatu znalazła się jeszcze szafa sterownicza i schematy połączeń. Ten agregat oryginalnie nie miał avr, miał regulację zbudowaną na zestawie transformatorów, transduktorów i innych cudów na kiju, całość jest wielkości średniej spawarki transformatorowej i jest zamknięta w generatorze. Do kompletu jest pokrętło do...

    Elektro Maszyny i Urządzenia   13 Lip 2022 00:50 Odpowiedzi: 7    Wyświetleń: 462
  • AVT 823 - Tani generator funkcyjny

    tez mialem problemy z tym generatorem. Sam generator dzialal ale miernik nie. Zapomnieli na schemacie dolaczyc jednego rezystora do CD4060 ktory umozliwia poprawna prace generatora czestosciomierza. Bez tego nie ma wyniku pomiaru. Sam generator tez modyfikowalem bo zakresy byly niezadawalajace a na skrajnych regulacjach przebieg mocno sie odksztalcal....

    Projektowanie Układów   02 Gru 2005 23:59 Odpowiedzi: 4    Wyświetleń: 3832
  • Amatorski oscyloskop/generator USB (k. dźwiękowa)

    Nie można tego nazwac ani oscyloskopem ani generatorem. Oscyloskop: - sprzężenie DC gdzie jest? - wyzwalanie zewnętrznym sygnałem? Takie funkcje maja najprostsze oscyloskopy. Generator: - regulacja ofsetu? - zmienna rezystancja wyjściowa, zależna od obciążenia (mała wydajność źródła zasilania) Generator zawęża jego zastosowanie do urządzeń audio, a...

    DIY Konstrukcje   30 Lis 2017 05:29 Odpowiedzi: 18    Wyświetleń: 11736
  • Jak podłączyć generatore kwarcowy do 89C2051?

    Witam mam taki problem: Posiadam miernik częstotliwości zbudowany na procku jak w tytule i dzielniku projekt z PE. Procesor taktowany jest kwarcem 24Mhz wszystko działało super dopuki nie potrzebowałem zmierzyć Fwy jednego generatorka kwarcowego w obudowie DIP14 takiego metalowego 16.000Mhz. miernik oczywiście zmieżył i podał wartość częstotliwości...

    Mikrokontrolery   24 Lis 2006 18:17 Odpowiedzi: 4    Wyświetleń: 1019
  • Rzadko zadawane pytania: kieszonkowy generator szumu białego

    Pytanie: Jak zmierzyć widmo jakiegoś układu dla wszystkich częstotliwości naraz? Odpowiedź: Szum zazwyczaj jest wrogiem elektronika, a każdy szanujący się projektant stara się zredukować jego poziom w układzie do minimum. Jednakże są pewne sytuacje, gdy dobrze scharakteryzowane źródło szumu, bez żadnych innych dodanych sygnałów, może być bardzo pożądane....

    Artykuły   26 Sty 2019 17:45 Odpowiedzi: 13    Wyświetleń: 5085
  • Zbudujmy generator m.cz od 1hz do 20kHz

    Witam Wiem ze temat oklepany....przejrzałem co prawda pól elektrody i nienatknołem sie na sensowny schemat generatora m.cz. oczywiscie były rózne cuda na maksach..i innych diabłach scalonych.Mi zależałoby żeby był to geneator do zastosowań audio z płynna regulacja czestotliwości i amplitudy.Dobrrze by było gdyby byl tani czyli na elementach dyskretnych...

    Warsztat elektronika   19 Wrz 2006 15:04 Odpowiedzi: 5    Wyświetleń: 5928
  • Pan DM432 MT - echo wzmocnienie, regulacja...

    Suwak daje tylko nasycenie echa. Mam ten sam mikrofon ze starych dobrych czasów jak na cb coś się działo i pamiętam że są 2 peerki. Jeden od sygnału wyjściowego mcz. do radia, a drugi od generatora echa czyli szybkości powtarzania. może ty go masz wlutowany od drugiej strony. Bawiłem się z tym mikrofonem 10 lat emu i pamiętam że wymieniałem jeszcze...

    Radiotechnika Początkujący   24 Lip 2009 10:51 Odpowiedzi: 6    Wyświetleń: 2109
  • Generator Meratronik K937 naprawa.

    Witam. Znów się wpakowałem w kolejne ustrojstwo jakie chce wprowadzić na stan swego warsztaciku. Kupiłem generator-wobulator K937 wkładki E445 generator i E446 modulator. Problem taki że generator w.cz generuje połówkę sinusa a raczej coś na jej kształt. Dodatnia część a ujemnej brak. Sprawdziłem zasilacz i jedno z napięć +24V jest na poziomie 33V uszkodzony...

    Warsztat elektronika   07 Maj 2017 08:58 Odpowiedzi: 10    Wyświetleń: 5271
  • Prosty generator funkcyjny XR2206 do własnego montażu - Made in China - Recenzja

    Witam moich drogich czytelników. Chwilę mnie nie było, bo i roboty sporo i jakieś święta się po drodze nawinęły, a do tego rozłożyło mnie jakieś wiosenne choróbsko. W każdym razie, znowu jestem :). Dzisiaj zrecenzuję Wam bardzo prosty generatorek funkcyjny od naszych skośnookich przyjaciół. Generator oparty jest na popularnym układzie XR2206. Za kwotę...

    Artykuły   01 Maj 2018 21:40 Odpowiedzi: 16    Wyświetleń: 8196
  • zilio SR2/SR3 zadanie,losowe wlaczanie i wylaczanie swiatla/ regulacja...

    Witam wszystkich forumowiczow. Czy ma ktos pomysl jak za pomoca w/w sterownikow zrobic losowe wlaczanie i wylaczanie swiatla oraz czy jest mozliwosc regulacji swiecenia zarowki. pozdr. Robert Nie pamiętam czy Zelio posiada generator losowy, ale z tego co pamiętam to chyba nie. W jakichś sterowniczkach, które nie posiadały takiego generatora poradziłem...

    Automatyka Przemysłowa   30 Cze 2012 08:09 Odpowiedzi: 8    Wyświetleń: 1382
  • Opis generatora przebiegów prostokątnych opartego na układzie NE555

    Witam. Poniżej przedstawiam Wam opis bardzo taniego jednokanałowego generatora sygnałów prostokątnych z regulacją wypełnienia. Ceny w Chinach zaczynają się od ok. 0,5$ z wysyłką, w Polsce od ok. 11zł z wysyłką. Układ sprzedawany jest jako gotowy zestaw. Wymiary: 32x22x15mm. Generator oparty jest na znanym wszystkim układzie NE555. Na płytce znajdziemy...

    Artykuły   05 Lis 2021 15:06 Odpowiedzi: 13    Wyświetleń: 5979
  • Dwa nowe układy pozwalają podejść na nowo do generatorów sygnału

    Dawniej najtrudniejszą częścią projektu generatora funkcyjnego była realizacja stopnia wyjściowego. Typowy generator sygnału oferuje wyjście o szerokim zakresie amplitud, od 25 mV do 5 V. Aby sterować obciążeniem o impedancji 50 Ω, tradycyjne generatory wykorzystują rozwiązania dyskretne, równolegle połączone ze sobą układy scalone lub dedykowane...

    Artykuły   21 Paź 2014 15:25 Odpowiedzi: 0    Wyświetleń: 3513
  • Jak zbudować generator fali akustycznej?

    W sieci jest mnóstwo schematów generatorów. Najprostszy generator akustyczny. Prosty generator sinus. Generator sinus z regulacją napięcia wyjściowego Najprostszy generator LC Używaj przycisku szukaj .

    Początkujący Elektronicy   26 Wrz 2007 08:57 Odpowiedzi: 4    Wyświetleń: 3851
  • Schematy 3 generatorów 36, 38 i 40kHz

    Niestety nie na Polskiej stronie znalazłem super uniwersalny schemat (mam nadzieję). Posiada on regulację od 36-40kHz. Według mnie gdybym wykonał trzy takie układy i w jednym potencjometr ustawił do końca (36kHz) a w drugim w przeciwną stronę (też do końca- 40kHz) to miałbym juz gotowe dwa generatory. W trzecim układzie musiałbym ustawić sobie precyzyjnie...

    Początkujący Naprawy   26 Gru 2010 16:10 Odpowiedzi: 5    Wyświetleń: 3123
  • Metex ms-9150 - Generator. Uszkodzny sinus i trójkąt, gdzie szukać przyczyny?

    Witam. Mam problem z naprawą generatora w systemie pomiarowym Metex ms-9150. Uszkodzony był potencjometr do regulacji częstotliwości, wymieniłem, cześtotliwość zaczęła działać, ale nadal pozostał największy problem, którego rowiązania nie mogę znaleźć: Generator zniekształca, a właściwe nie generuje sinusoidy i trójkąta. Sygnał prostokątny działa jak...

    Inne Serwis   19 Lis 2012 21:15 Odpowiedzi: 4    Wyświetleń: 1920
  • Generator medyczny z USA - Hypoxico Everest Summit II

    To niestety nie jest koncentrator tlenu. Hypoxico działa inaczej. Ten generator pobiera powietrze z zewnątrz, czyli z pokoju w którym się znajduje i tłoczy powietrze o zmniejszonej ilości tlenu rurką do szczelnego namiotu. Regulację ilości O2 w powietrzu ustawia się na generatorze. Nowy sam generator kosztuje 3500 dol, czyli drogo. Słyszałem opinie,...

    Sprzęt Medyczny   14 Gru 2014 12:36 Odpowiedzi: 9    Wyświetleń: 6561
  • Naprawa generatora wobulatora Pof-10 Zopan: brak przebiegu trójkąta, prostokąta, sinusoidy

    Opisy takie same. Sprawdzałem. Na chwilę obecną jest przebieg. Ale brak regulacji częstotliwości. Przebieg przelaczajacy klucze pradowe jest ale nie symetryczny prostokąt. Przy regulacji wypełnienia potrafi stanąć generator. Coś nieprawidłowo przełącza ładowanie kondensatorów oraz prąd ładowania. Na niektórych zakresach staje generator. Szkoda że nie...

    Warsztat elektronika   27 Kwi 2022 22:27 Odpowiedzi: 7    Wyświetleń: 432
  • Wzmacniacz WS-503 i jego regulacja.

    No tak, do czego służą te Pr-ki to ja wiem, ale nie o to mi chodzi bo np. piszesz "R160 ustawiasz czułość wejściową końcówki" - no dobra, ale jak?. 1. Jaka częstotliwość z generatora m.cz. na wejściu? 2. Jakie napięcie wejściowe w/w. 3. Gdzie je mierzyć i jaką wartość powinno mieć po regulacji itd. R177 to wiadomo.

    Audio Serwis   04 Maj 2006 16:03 Odpowiedzi: 4    Wyświetleń: 1839
  • Generator do zegara dworcowego

    Witam Nie zakładam nowego tematu bo mam podobny problem. Chcę reanimować zegar dworcowy z silnikiem krokowym. Nie posiada on wskazówki sekundowej, wymaga impulsów co 60s. Sterowanie silniczkiem mam już opracowane, gorzej z generatorem. Zbudowałem generator z dzielnikami przy użyciu cd4060 i rezonatora zegarkowego 32.768kHz. Na jego wyjściu najmniejsza...

    Projektowanie Układów   16 Cze 2006 23:03 Odpowiedzi: 12    Wyświetleń: 3886
  • Nietypowy generator. Jak to wszystko pogodzić?

    Mozesz uzyc do tego scalonego generatora NE555 w ukladzie astabilnym. Do regulacji mozesz uzyc rezystorow cyfrowych n.p. produkcji Dallas. Innym rozwiazaniem jest zrobienie tego co chcesz na scalonych kostkach timerow specjalizowanych n.p. 8254. Jeszcze innym rozwiazaniem i moim zdaniem najlepszym jest uzycie do tego kostki CPLD i zrobienie ukladu wraz...

    Mikrokontrolery   09 Sty 2007 17:23 Odpowiedzi: 5    Wyświetleń: 1083
  • Tani generator wysokiego napięcia.

    Ja też mam taki generator WN, tyle że sterowanie z generatorka 555 = regulacja częstotliwości i wypełnienia. Całe ustrojstwo zasilam z zasilacza komputerowego 12V. Mniemam że uzykuję ciut dłuższą iskrę niż ty. Używam go do żarówki plazmowej :), fotografi kirlianowskiej i różnych eksperymentów z HV. Spokojnie zaświeca mi trzymaną w ręce świetlówkę 18...

    DIY Konstrukcje   20 Wrz 2012 12:24 Odpowiedzi: 38    Wyświetleń: 35829
  • Przerywnik prądu z regulacją czasu trwania i częstotliwości

    Cześć Może takie rozwiązanie w czymś pomoże. Opis układu 4541: Układ może pełnić role generatora lub uniwibratora, wytwarzającego pojedyncze impulsy. Bramki wejściowe (nóżki 1,2,3) tworzą generator dwubramkowy, który jest źródłem sygnału dla następnych stopni. Współpracuje z nimi kondensator stały. Kostka zawiera programowalny dzielnik. Układ może zliczając...

    Automatyka Przemysłowa   19 Gru 2007 22:49 Odpowiedzi: 30    Wyświetleń: 6909
  • Generator impulsów prostokątnych do ręcznego sterowania CNC

    Witam przyłącze się do tematu bo nie chce zakładać nowego zbudowałem generator ze schematu poniżej i moje pytanie brzmi czy są jakieś wzory na obliczanie częstotliwości i szerokości impulsu żeby dobrać elementy czy tylko doświadczalnie? Pytam dlatego ponieważ generator działa dobrze ale np. przy częstotliwości 20Hz potencjometr regulacji wypełnienia...

    Początkujący Naprawy   06 Mar 2012 13:58 Odpowiedzi: 3    Wyświetleń: 4746
  • Regulator PWM na NE555 - bardzo mała regulacja.

    Domyślam się że sprawdziłeś już poprawność montażu, potencjometr też jest dobrze wlutowany. A zerknij do tego linka : i na ten obrazek: To też jest generator impulsów o zmiennym współczynniku wypełnienia, ale trochę różni się od tego z AVT innym podłączeniem diód. Wypróbuj tą aplikację i daj znać co wyszło.

    Początkujący Naprawy   29 Sty 2013 11:17 Odpowiedzi: 2    Wyświetleń: 1983
  • Miernik CH3-63-1 - Generator 5MHz

    Posiadam częstościomierz CH3-63-1, dokładnie taki: Z dużym trudem doszukałem się schematu generatora i sposobu regulacji częstościomierza: Przede mną nikt nie otwierał obudowy. Od razu napiszę, że na wyjściu 1 jest przebieg 5MHz w kształcie coś pomiędzy sinusem, a prostokątem. Potencjometr regulacyjny nie jest narysowany na schemacie, ale tak naprawdę...

    Warsztat elektronika   26 Mar 2017 18:23 Odpowiedzi: 3    Wyświetleń: 1053
  • Opis dwukanałowego generatora sygnałów prostokątnych z wyświetlaczem LED

    Witam. Poniżej przedstawiam Wam opis taniego dwukanałowego generatora sygnałów prostokątnych z regulacją wypełnienia. Ceny w Chinach zaczynają się od ok. 1,7$ z wysyłką, w Polsce od ok. 29zł z wysyłką. Układ sprzedawany jest jako gotowy zestaw, lecz należałoby dolutować kołki goldpin, by móc bezproblemowo z niego korzystać. Generator posiada 3-cyfrowy,...

    Artykuły   28 Sty 2019 22:05 Odpowiedzi: 13    Wyświetleń: 4401
  • Generator testowy TV - TT-01

    Też mam taki, ale z tego powodu robi jako podstawka pod kurz:) Koleś miał taki przerobiony, ale powiedział mi to, jak juz go wypieprzył. Kupiłem w firmie "Jupitel" z Gdańska generatorek i powiem Ci, że jest super - za pare złotych. Generator tem ma min. test dynamiczny, co pomaga w problemach z regulacją szerokości obrazu, poza tym wy. w.cz i scart,...

    Warsztat elektronika   16 Sty 2006 12:20 Odpowiedzi: 10    Wyświetleń: 3009
  • GCPA-01 czyli Generator Cyfrowych Przebiegów Arbitralnych

    Tak jak obiecałem, zamieszczam dalszą część fotek obrazujących postęp prac z GCPA. Tutaj mamy blok przetwornicy napięcia i blok układu AWR a raczej Automatycznej Regulacji Poziomu Sygnału (zasada działania taka sama) i dzielniki kalibrowane. Rozszerzenie to (w stosunku do podstawowego GCPA) dostarcza dwa sygnały z czego jeden jest DC (jak wersja podstawowa...

    DIY Konstrukcje   05 Sty 2009 20:44 Odpowiedzi: 33    Wyświetleń: 15452
  • Lampa plazmowa z generatorem na timerze 555. Trafo wysokonapięciowe z TV.

    Wybacz że tak negatywnie się wypoowiem, ale żadna z tego rewelacja, temat klepany od dawna. Swego czasu 2 lata temu zrobiłem lampę plazmową z okrągłego klosza lampy ogrodowej o średnicy bagatela jakieś 40cm. Wewnątrz umieściłem kulkę stalową z flipera i całość uszczelniając, uzupełniłem mieszanką neonu z azotem co dawało niebiesko pomarańczowe wyładowania,...

    DIY Zagranica   13 Lis 2006 09:14 Odpowiedzi: 19    Wyświetleń: 8705
  • Dip-meter i zasada działania generatora

    Witam, ponieważ, poniekąd, zostałem tu "wezwany do tablicy" (choć postanowiłem w tym temacie, z przyczyn niezależnych ode mnie, nie brać dalej udziału w dyskusji) to chcę zwrócić uwagę (dla dobra czytelnika), iż wyniki symulacji, a szczególnie układów generacyjnych, są tyle warte, co dokładność odzwierciedlenia w modelach elementów ich rzeczywistych...

    Początkujący Elektronicy   17 Paź 2007 20:56 Odpowiedzi: 8    Wyświetleń: 14017
  • Generatorek wiatrowy : konstrukcja i projektowanie

    Chyba mój kumpel ma taki alternator, ale czy do "rozruchu" alternatora nie trzeba prądu z akumulatora, bo ten raczej będzie podłączony bezpośredni do odbiornika energii. W generatorze wiatrowym jest podobnie jak w samochodzie: musisz miec jakis uklad regulacji, bo inaczej przy wysokich obrotach spalisz odbiorniki (w aucie "zagotujesz" tez akumulator)....

    Początkujący Elektronicy   15 Wrz 2009 12:46 Odpowiedzi: 33    Wyświetleń: 4976
  • Jak wykonać regulację zakresu sygnału piłokształtnego

    Witam kolegów Potrzebuję schemat prostego układu regulacji sygnału piłokształtnego. (Mój generator na wyjściu ma napięcia od 0V do 5V). Chciałbym aby dodatkowy układ dawał mi możliwość wyboru tylko wycinka zbocza np. 1V DO 1.5V, oraz możliwość "przesuwania" się z tym zakresem 0.5V wyżej i niżej zbocza piły.Najważniejsze jest to ,iż jeśli wybiorę sobie...

    Projektowanie Układów   15 Wrz 2009 20:07 Odpowiedzi: 1    Wyświetleń: 1059
  • Generator/Vobulator DSG-108

    Powstało bardzo fajne urządzenie ale nie obejdzie się bez krytyki. Częstotliwość 400Hz jest nieodpowiednia aby sprawdzać urządzenia radiokomunikacyjne choćby na pasmo CB. To samo dotyczy dewiacji której minilalny poziom masz 5kHz. Na przykładzie pomiarów urządzeń CB aby były te pomiary prawidłowe z konstrukcją odbiornika lub nadajnika częstotliwości...

    DIY Konstrukcje   18 Kwi 2020 20:56 Odpowiedzi: 28    Wyświetleń: 23516
  • Regulacja składu mieszanki z uzyciem zaworu IACV

    Ja myślę że to dobry pomysł, ale: - czy są gotowe rynkowe zawory o odpowiednim przelocie ? Myślałem o zastosowaniu np. czegoś takiego - z digifanta: Przelot chyba wystarczający ? - sterownik by taki musiał być.. Ktoś by go musiał zrobić ;) Generator PWM, regulowany napięciem - prosty układ na NE55 z np irf530, Ograniczone "na sztywno" maksymalne otwarcie...

    Samochody Instalacje Gazowe   23 Wrz 2010 15:37 Odpowiedzi: 8    Wyświetleń: 3006
  • Generator Funkcji. - Zbyt duża generowana częstotliwość.

    Witam, Witam. Złożyłem generatorek z praktycznego elektronika 2/1992. Wszystko zadziałało, ale nie do końca. Do sedna, są przełączniki do zakresu jaki powinien być ustalony i mam tak ze przy zakresie 10KHz generator potrafi już wygenerować częstotliwość 100KHz, a po przełączeniu na maksymalny zakres potrafi już dojść do 500KHz. Jest to sporo za dużo...

    Warsztat elektronika   16 Mar 2013 13:50 Odpowiedzi: 1    Wyświetleń: 1443
  • Generator funkcyjny z układem DDS

    Po zakupie na aukcji internetowej modułu z układem DDS typu AD9850, autor zdecydował się na stworzenie własnego generatora funkcyjnego wykorzystującego bezpośrednią syntezę cyfrową, który mógłby zastąpić generator pracujący do tej pory w warsztacie autora. Moduły z układami AD9850 można czasami kupić bardzo tanio na aukcjach internetowych. Niektórzy...

    DIY Zagranica   30 Mar 2013 21:05 Odpowiedzi: 0    Wyświetleń: 12051
  • Prosty Generator przebiegów: Sinus, trójkąt, prostokąt

    Witam, konstrukcja fajna. Można by się przyczepić do rozmiarów kondensatorów w stosunku do zaprojektowanej PCB. Dodatkowo zastosowałbym puszeczkę ekranującą transformator by pole elektromagnetycznie nie roznosiło się w środku obudowy i nie zakłócało pracy generatora. W opisie brak wzmianki na temat zakresów częstotliwości - opisz jakie wartości uzyskujesz...

    DIY Konstrukcje   26 Cze 2013 17:58 Odpowiedzi: 18    Wyświetleń: 23826
  • Radyne generator 7s - nie generuje prądu wysokiej czestotliwości

    Witam, Poszukuje pomocy z generatorem 6000V 23,7Mhz 30mA Generator się załącza, elektronika pracuje ale generator nie generuje prądu wysokiej częstotliwości i od czasu do czasu wyrzuca błąd przeskoku ładunku lub przegrzania elektrody. Nie reaguje na regulacje mocy, nawet gdy kontrolka załączenia HF się zapala. Napięcie żarzenia na zaciskach jest, transformatory...

    Elektro Maszyny i Urządzenia   18 Cze 2016 02:49 Odpowiedzi: 1    Wyświetleń: 987
  • Regulacja napięcia tyrystora na 74123

    Napisz dokładnie, o co ci chodzi i daj schemat tego prostownika. Sterownik musi być zsynchronizowany z napięciem prostowanym, a nie samoistnym generatorem.

    Początkujący Naprawy   27 Lut 2017 11:29 Odpowiedzi: 6    Wyświetleń: 1134
  • Agregat prądotwórczy PAD 20-3/400 częstotliwość a regulacja napięcia

    No właśnie. Napięcie nie ma nic wspólnego z częstotliwością. Częstotliwość reguluje się regulatorem obrotów silnika, a napięcie prądem wzbudzenia generatora. Jeśli pracował w tandemie to pewnie miał jakąś regulację grupową, zależną od drugiego generatora żeby się równomiernie obciążały. Należy rozgryźć schemat ale zdjęcia które wstawiłeś są niewyraźne.

    Elektryka Dla Każdego   07 Lis 2019 22:32 Odpowiedzi: 9    Wyświetleń: 4683
  • Spadek napięcia- regulacja- Poprawka

    Czyli napięcie na wyjściu generatora się waha? I w zależności od tego co tam podłączysz spada do niedopuszczalnej wartości? I wtedy chcesz dodatkowo podłączać zasilanie z zewnątrz? Jeśli akumulator wystarcza do chwilowego podtrzymania zasilania, to może rozważ taki scenariusz, że swoim generatorem ładujesz akumulator, a zasilasz pozostałe urządzenia...

    Początkujący Elektronicy   25 Sie 2018 07:56 Odpowiedzi: 6    Wyświetleń: 480
  • Generatorek DDS, moja wersja obudowy chińskiego modułu.

    wymieniłem w nim LM358 na TL062 i uzyskałem gładki przebieg funkcji przy przechodzeniu przez zero, bez offsetu. To raczej nie offset, a zniekształcenia skrośne... Offset jest wtedy, kiedy cały przebieg jest przesunięty w górę/dół względem zera. Większość generatorów, nawet najtańszych chińskich wynalazków ma możliwość regulacji offsetu, choćby potencjometrem.

    DIY Konstrukcje   17 Lut 2021 16:48 Odpowiedzi: 34    Wyświetleń: 8436
  • Regulacja zasilania LPG w agregacie prądotwórczym Zipper STE1200 z gaźnikiem GX100

    Naprawiłem zepsuty agregat i chciałbym dorobić zasilanie LPG. Zakupiłem na Ali taki oto reduktor razem z gaźnikiem. Z opisu wynika, że pasuje do silnika GX100. Z gaźnika wymontowałem rurkę doprowadzającą gaz i zaaplikowałem ją do tego, który był w moim generatorze. Reduktor podłączony do butli 11 kg z reduktorem "kuchenkowym" 37 mbar. I teraz w problem...

    Inne Silniki Spalinowe   20 Mar 2021 21:47 Odpowiedzi: 0    Wyświetleń: 1095
  • Wnętrze generatora FY6900-60 MHz, czyli rozpoznanie przed modyfikacją

    Ostatnimi czasy mamy dostęp do różnej aparatury pomiarowej w nowoczesnym wydaniu w przystępnych cenach, gdzie jeszcze kilkanaście lat temu trzeba było dysponować pokaźnym zapleczem finansowym na zakup. Dla amatora zakup chociażby generatora arbitralnego był poza zasięgiem finansowym. Choć wiele ciekawych i przydatnych urządzeń pomiarowych można kupić...

    Wnętrza urządzeń   30 Lis 2023 11:07 Odpowiedzi: 107    Wyświetleń: 9735
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    Zdolność precyzyjnego odmierzania czasu leży u podstaw rozwoju całej ludzkiej cywilizacji. Stworzenie zegara, który działa w każdej pozycji i w każdych warunkach leżało u podstaw nawigacji morskiej. O ile szerokość geograficzną da się obliczyć na podstawie wysokości słońca nad horyzontem, o tyle określenie długości wymaga wiedzy, która jest godzina...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 4272
  • Naprawa Generatora Adret 740A: wymiana EPROMów, programowanie i korekcja wartości

    Witam serdecznie grupę. Mając wolną chwilę ruszyłem temat dokończenia naprawy Adret 740A. Oczywiście chciałem podziękować za wiele cennych wskazówek i porad udzielającym się w otwartym temacie naprawy generatora. Więc po doprowadzeniu płyty CPU do kultury technicznej (sprawdzenie ścieżek na druku, które niestety kwas w kilku miejscach zjadł) wymianie...

    Warsztat elektronika   18 Mar 2024 20:26 Odpowiedzi: 36    Wyświetleń: 1602
  • Jak zrobić sterownik do silnika krokowego z pilotem do regulacji prędkości?

    Zależy, jaki jest poziom Twojej wiedzy i umiejętności. Można to zrobić na mikrokotrolerze, programowo (na przykład na Arduino) lub na układach cyfrowych. Z grubsza potrzebujesz licznika rewersyjnego (na przykład 74hc193) i generatora częstotliwości sterowanego z licznika. Przycisk A będzie zwiększał stan licznika (częstotliwość), B zmniejszał, a C zatrzymywał...

    Początkujący Elektronicy   15 Lut 2024 19:55 Odpowiedzi: 35    Wyświetleń: 447
  • Pozytywka, Dzwonek, Metronom, Generator

    Jestem dość początkującym elektronikiem. Mam następujące pytania: :arrow: Czy ten kondensator 1000 micro jest to kontensator spolaryzowany? :arrow: Rezystor 1k ma wartość 1 kilo Ohm. A 3k3 to ile? :arrow: Są tam jeszcze rezystorki 13 i 390, ale czeko kilo Ohmów? :arrow: M1. regulacja. chodzi tu o jakiś potencjometr?

    Artykuły   02 Gru 2004 16:07 Odpowiedzi: 10    Wyświetleń: 11743
  • Potrzebny generator impulsowy

    dokladnie, parametry - glownie czestotliwosc i napiecie. Na potrzeby ... mam opracowany generatorek do 320V z mozliwoscia regulacji szerokosci impulsu, ilosci impulsow oraz odstepie pomiedzy impulsami.

    Projektowanie Układów   21 Gru 2004 09:36 Odpowiedzi: 3    Wyświetleń: 1389
  • Układ generatora przebiegu trójkątnego

    układ ICL8038 to chyba najprostrzy i szybki sposób na zmontowanie tego generatora. Sam z niego korzystam. A dodatkowo oprócz trójkąta masz też do dyspozycji prostokąt i sinusoide. Regulacja wypełnienia przebiegu gratis! Pasmo do 150KHz.

    Projektowanie Układów   19 Mar 2006 10:37 Odpowiedzi: 1    Wyświetleń: 1340
  • Regulacja_pradu_w_prostowniku

    Rozumiem. A czy moglbys podeslac mi jakis kompletny schemat ukladu regulacji takiego prostownika. Mam na mysli regulator,obwod mocy oraz sterownik mocy (generator impulsow bramkowych - uklad zalaczajacy tyrystory mocy). Moze wowczas wyjasni mi sie wiecej szczegolow. Pozdrawiam

    Elektro Maszyny i Urządzenia   19 Sie 2006 07:52 Odpowiedzi: 10    Wyświetleń: 2478
  • Szukam schematu generatora o zmiennym wsp. wypełnienia

    To już widziałem ale dzięki. Zacznę raz jeszcze: Mam generator (HM8131-2) który zmienia częstotliwość ale musze zbudować takie coś co jeszcze zmienia współczynnik wypełnienia. On ma być podpięty do gotowego generatora ( zapewne za pomocą BMC). Reasumując mam sygnał prostokątny, mogę zmieniać jego częstotliwość ale muszę również zmieniać współczynnik...

    Początkujący Elektronicy   18 Mar 2007 15:28 Odpowiedzi: 17    Wyświetleń: 3654
  • Daewoo DTB-21S6 - regulacja zniekształconego obrazu

    W tym chassis nie ma planszy testowej. Musisz podłaczyć generator.

    Początkujący Serwisanci   25 Lis 2007 12:49 Odpowiedzi: 1    Wyświetleń: 1539
  • Karta muzyczna jako generator akustyczny

    Moja wtopa. Jaką kartę muzyczną polecacie? Czy z zwykłej zintegrowanej w płycie głównej Jetway serii PM800/PM880/PT880 da rade? Mam pare głośników, których nie znam parametrów. Chętnie podjąłbym się ich wyznaczenia, a nie mam dostępu do generatora. Dodano po 1 Aha i chciałem dodać, że potrzebuje w owym generatorze akustycznym regulacje napięcia wyjściowego...

    Komputery Modding & OC   14 Maj 2009 17:05 Odpowiedzi: 5    Wyświetleń: 4420
  • Lifter - Generator HV z cewki zapłonowej

    Witam! Mam do zrealizowania projekt z fizyki (na pewien konkurs) i buduję doskonale znany na tym forum lifter. Teraz jako źródła wysokiego napięcia używam monitora komputerowego, jednakże moje plany są nieco bardziej dalekosiężne. Zamierzam bowiem dodać do mojego liftera funkcję sterowania jego lotem w trzech płaszczyznach (w przestrzeni ograniczonej...

    Początkujący Naprawy   21 Lut 2011 06:41 Odpowiedzi: 7    Wyświetleń: 5168
  • Generator wysokiego napięcia na NE555

    To trzeba tak timing regulować by uzyskać najlepsze efekty. Wiem, wiem, nie jestem taki tępy :P On tam nie jest potrzebny... Bez niego generatorek chodzi gorzej, tzn ma mniejsze zakresy regulacji (wcześniej iskra przeskakiwała przy różnych skrajnych ustawieniach potencjometru, teraz w węższym zakresie). W takim razie pozostaje mi sprawdzenie działania...

    Początkujący Naprawy   31 Mar 2012 20:37 Odpowiedzi: 15    Wyświetleń: 9003
  • MC 14541 - Zmiana zakresu regulacji czasu

    Sprawdź jak podłączone są wyprowadzenia 12 i 13 układu MC14541. Od nich zależy stopień podziału częstotliwości generatora. Najlepiej gdyby były ustawione tak:12 do"0" a 13 do "+". Wtedy to częstotliwość wewnętrznego generatora dzielona jest przez 2 do potęgi 10. Chcesz wydłużyć czas 60 razy to jest prawie 2 do potęgi 6, co da ostatecznie 2 do 16-tej,...

    Początkujący Naprawy   20 Lis 2012 23:29 Odpowiedzi: 2    Wyświetleń: 1620
  • Zakup generatora funkcyjnego i zasilacza do warsztatu elektroniki

    Victor VC2002, fajny generator. Poszukaj takiego. Regulacja częstotliwości 7 podzakresów, w ramach podzakresu strojone wieloobrotowym potencjometrem. Płynna korekcja symetrii generowanej fali, z trójkąta możesz zrobić przebieg "zęby piły", w fali prostokątnej możesz ustawić wypełnienie.

    Warsztat co kupić?   30 Mar 2014 12:05 Odpowiedzi: 3    Wyświetleń: 1689
  • Jaki mikrokontroler ma wbudowany niezależny generator?

    Witam Jaki mikrokontroler ma wbudowany regulowany niezależny generator. Znam tylko AVR i to też słabo. Wiem że da się to zrobić na atmedze ale nie mam płynnej regulacji tylko trzeba wyliczać i nei zawsze się da to prosto zrobić. Chyba że o czymś nie wiem co jest bardzo możliwe. Krótko mówiąc potrzebuję mikrokontroler który będzie generował mi przebieg...

    Mikrokontrolery   09 Cze 2014 10:16 Odpowiedzi: 5    Wyświetleń: 1380
  • Generator dźwięku - huczenie sowy

    Dziękuję za podpowiedź z nagrywarką. Spróbuję poeksperymentować. Poszukuję jednak bardziej schematu jakiegoś układu generatora. Może ma ktoś jakiś sprawdzony schemat generatora np. dwutonowego z regulacją częstotliwości i barwy w dosyć szerokim zakresie. Będę wdzięczny.

    Początkujący Elektronicy   30 Gru 2016 10:37 Odpowiedzi: 5    Wyświetleń: 732
  • Generator Van De Graffa + sterownik silnika.

    Cześć, Postanowiłem pochwalić się swoim urządzeniem które budowałem oraz dopracowywałem kilka tygodni. Oczywiście, jak w tytule jest to generator Van De Graffa. Sam był budowany na konkurs który, nieskromnie się przyznając, wygrałem wraz z innym użytkownikiem tego forum (ciekawe czy się przyzna :) ). Sama konstrukcja została oparta o

    DIY Początkujący   16 Lip 2017 21:52 Odpowiedzi: 2    Wyświetleń: 4344
  • Regulacja prądu spawarki za pomocą tyrystora - jak?

    poszukaj sobie ukladu prostownika tyrystorowego, gdzie sygnal sterujacy bramka tyrystora podajesz sobie z generatora. Przesunieciem miedzy faza impulsu sterujacego a faza napiecia zasilania regulujesz moc.

    Elektro Maszyny i Urządzenia   24 Lis 2003 23:29 Odpowiedzi: 4    Wyświetleń: 20632
  • Program - ( Koder Stereo ) + Generator RDS

    Odnowie temat i się zapytam, czy na karcie Sound Blaster Audigy2 OEM poleci RDS wygenerowany tym programie, bo jak na razie tylko bez muzyki bardzo ciężko leci oraz po zmianie f z 57k na 19kHz z drobnymi regulacjami. no chyba że podacie mi jakiś schemat prostego kodera, ale ze względu na to, że nie jestem doświadczony w programowaniu procesorów więc...

    Radiotechnika Serwis   27 Lip 2008 02:35 Odpowiedzi: 26    Wyświetleń: 6474
  • Regulacja obrotów dmuchawy.

    Witam, robiłem kiedyś do swojego autka (renault 21 , 2.1TD) sterowanie dmuchawą, ponieważ oryginalny sterownik padł.. użyłem do tego prostego układu NE 555, lub ULY 7855 (jesli dobrze pamiętam) + tyrystor lub triak, działał jako klasyczny układ generatora.., musiałbyś go tylko sprzęgnąć odpowiednio np z prędkościomierzem może na zasadzie drabinki rezystancyjnej......

    Samochody Elektryka i elektronika   04 Maj 2009 15:59 Odpowiedzi: 2    Wyświetleń: 2321