reset synchroniczny

Znaleziono około 180 wyników dla: reset synchroniczny
  • Jak wykonać reset synchroniczny licznika Mod 13?

    Trzeba dołożyć trochę bramek na wejściach 'D'.

    Nauka Elektroniki, Teoria i Laborki   11 Lut 2019 10:52 Odpowiedzi: 3    Wyświetleń: 981
  • VGA generator w Verilog na FPGA 50MHz – monitor nie wykrywa sygnału, ekran uśpiony

    Asynchroniczny reset to można jedynie do symulacji dać. W przypadku rzeczywistych projektów lepiej dać reset synchroniczny.

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4848
  • REKLAMA
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Licznik asynchroniczny modulo 4 zliczający w dół, przerzutniki D

    Układ liczy w dół tylko dlatego, że zastosowałeś przerzutniki z aktywnym zboczem narastającym na wejściu zegarowym. Skoro ma być RESET, bez wykorzystania wejścia asynchronicznego, to znaczy, że trzeba wytworzyć RESET synchroniczny. Zatem konieczne jest dodanie dodatkowych bramek na wejścia D. Potrzebne są dwie bramki OR. Wyjścia do D, natomiast jedno...

    Początkujący Elektronicy   14 Lut 2022 14:25 Odpowiedzi: 2    Wyświetleń: 1317
  • REKLAMA
  • Jak opóźnić resetowanie licznika CD4520 dla stanu 011?

    Gdy napiecia sa rowne nastepuje reset licznika, ale wczesniej musze pobrac stan licznika do rejestru, w ktorym nastapil reset, ale jak go pobrac, jak ten stan bedzie mniejszy o 1 LSB, bo reset za szybko dziala... W takim przypadku to sprawa jest jeszcze prostsza niz na poczatku to przedstawiles. Nie potrzebujesz dekodowac zadnego konkretnego stanu...

    Początkujący Elektronicy   31 Mar 2007 09:01 Odpowiedzi: 18    Wyświetleń: 1608
  • Synchroniczny licznik mod 6, przerzutniki D - dodatkowe wejście RESET

    czyli po podłączeniu go cały układ dostaje '0' i taką też wyświetla wartość, po czym po "odłączeniu" wejścia reset, zaczyna liczyć od nowa, jednak dostałem informację (nie od profesora), że to nie o to chodzi i tu moje pierwsze pytanie: dlaczego i jak zrobić to poprawnie ? Trochę jakby nie do końca jasne są warunki zadania, jak dla mnie. Zdanie "Dodatkowe...

    Nauka Elektroniki, Teoria i Laborki   10 Sty 2018 21:01 Odpowiedzi: 2    Wyświetleń: 3807
  • [VHDL] - Dioda LED nieprawidłowo reaguje na dane SPI z STM32

    Witam. #1 - może Kolega sprawdzić ,,view RTL schematic'' i ,,technology schematic'' w ISE (przypuszczam, że jeśli Xilinx to i ISE). Jak nie będzie miał kolega wniosków, to może tu wkleić obrazki. Teoretycznie - czyli w symulacji behawioralnej jest dobrze. #2 - proces reaguje na zmianę SPI_Action - sygnał, który (albo z nadania Kolegi albo kompilatora)...

    Programowalne układy logiczne   31 Paź 2012 22:16 Odpowiedzi: 9    Wyświetleń: 3867
  • Jak poprawić kod przerzutnika T w VHDL z opadającym zboczem zegara?

    w sumie chodzi mi o ten Reset synchroniczny. Nie wiem jak go zrobic.Ten przerzutnik znalazlem w internecie i zmienilem clocka na '0' zeby byl przełączany opadającym zboczem zegara. Ale reseta tu nigdzie nie ma. Wie ktos moze jak go zrobic. Plizzzz. Jutro mam egzama. I mam jeszcze zrobic przerzutnik D na Nandach strukturalnie. Bylbym bardzo wdzieczny...

    Początkujący Elektronicy   24 Lut 2006 20:12 Odpowiedzi: 2    Wyświetleń: 1253
  • Mikrokomputer COBRA 1

    Hejka Panowie, Dorzuce cos dosc waznego, co wlasnie odkrylem. Moja karta graficzna generuje INT w/g specyfikacji jakie wyczytalem z konstrukcji Harlequina. Cale szczescie kostka, ktora generuje INT ma mozliwosc ustawinia pinu na otwarty kolektor, To "oczywista oczywistość" aczkolwiek Intel twierdził inaczej. Dla nich INT to opadające zbocze co powoduje,...

    DIY Konstrukcje   11 Lip 2025 11:22 Odpowiedzi: 2006    Wyświetleń: 277023
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    Przebiegi są rejestrowane w fizycznym układzie z wykorzystaniem bloków ILA, to nie jest symulacja. Rzeczywiście, w artykule wspomniano o układach Xilinxa i środowisku ISE – u mnie taki sposób nie działał, może zależy to od wersji ISE, może od czegoś innego, nie mam pojęcia. W każdym razie bardzo ciekawe. Co do konfiguracji symulacji, to też nie...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1956
  • REKLAMA
  • [VHDL] VHDL: Przerzutnik D z asynchronicznym zerowaniem i synchronicznym ustawianiem

    Ja bym to zrobił tak, uważaj na błedy składni pisze z pamięci: process (clk,reset) begin if (reset='1') then Q<= '0' else if (clk='0') then Q<= D else Q<=Q; end if; end if; end process;

    Początkujący Elektronicy   24 Wrz 2004 13:15 Odpowiedzi: 2    Wyświetleń: 9203
  • Jak obsłużyć licznik w ISE 9.2i na Spartan3 z sygnałami RAM_WR i RAM_CLK?

    Reset synchroniczny (wtedy licznik wyzeruje sie na zbocze zegara) Kod: process (RAM_WR,RAM_CLK) begin if (RAM_WR'event and RAM_CLK ='1') then if(RAM_WR ='1') then licznik<="0000"; else licznik<=licznik+1; end if; end if; end process nie chcę się kłócić, ale czy nie powinno być: process (RAM_WR,RAM_CLK)...

    Programowalne układy logiczne   12 Sie 2007 09:51 Odpowiedzi: 5    Wyświetleń: 1495
  • 3-bitowy rejestr przesuwny z synchronicznym wpisem - poprawność rozwiązania?

    Jeszcze trochę źle - negacja na lini LOAD jest niepotrzebna, powinny być negacje na danych - tak by linia LOAD uaktywniała albo wejście SET albo RESET poszczególnych przerzutników.

    Nauka Elektroniki, Teoria i Laborki   17 Lis 2014 08:51 Odpowiedzi: 12    Wyświetleń: 7434
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Olaboga ale syf mialem teraz zauwazylem. faktycznie, ale druga wersja nie jest lepsza. nie wiadomo od czego zaczac... taki 'syf' - uzywajac twojej terminologii; 1.zapis [syntax=verilog] always (at)(posedge clk or negedge reset)[/syntax] oznacza, ze jeden z sygnalow jest zegarem dla synchronicznych przerzutnikow, drugi asynchronicznym zerowaniem/ustawianiem...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2550
  • Jak rysować zegar dla liczników asynchronicznych i synchronicznych?

    Musisz popatrzeć, co robi bramka przy licznikach. Jej zadaniem jest zebrać sygnały z odpowiednich wyjść i wygenerować reset w odpowiednim momencie, tzw skrócenie cyklu liczenia.

    Początkujący Elektronicy   16 Cze 2015 20:14 Odpowiedzi: 5    Wyświetleń: 924
  • REKLAMA
  • Schemat przerzutnika D synchronicznego na bramkach NAND/NOR z D, SET, RESET, CLK

    witam szukam schematu logicznego przerzutnika typu D synchronicznego zbudowanego na bramkach NAND albo NOR, z wejsciami D, SET , RESET i CLK. Przeniosłem z: Schematu/instrukcji...

    Początkujący Elektronicy   02 Kwi 2005 22:48 Odpowiedzi: 1    Wyświetleń: 2282
  • Ustawianie wyjść aktywnych w stanie 1 w kodzie konwertera 3 bitowego na 1z8 w VHDL

    Racja racja czyli jeżeli są WSZYSTKIE wejścia w liście czułości to jest on kombinacyjny. A jak niema chociaż jednego to już nie. To chyba nie jest właściwy wniosek. Wydaje mi się, że powinno się raczej to ująć tak: 1. Proces w języku VHDL, używając poleceń sekwencyjnych, może opisywać zarówno układ kombinacyjny lub sekwencyjny. 2. Układ synchroniczny...

    Programowalne układy logiczne   16 Sty 2021 10:50 Odpowiedzi: 9    Wyświetleń: 1545
  • Jak zaimplementować licznik taktów w maszynie stanów VHDL dla napisu PKO?

    Czesc, Reset na liscie czulosci powinien byc ale tylko jak masz asynchroniczny reset. Jesli jest synchroniczny to nie powinien tam byc bo proces bedzie schedulowany na zmiane na oba sygnaly wiec bedzie sie wzbudzal w symulatorze za kazdym razem jak cos sie bedzie dzialo z resetem. Jak masz synchroniczny reset to zegar jest wystarczajacy. Zrobi sie co...

    Programowalne układy logiczne   26 Sie 2006 06:26 Odpowiedzi: 7    Wyświetleń: 3153
  • Licznik synchroniczny liczący od 10 do 40 na układzie 74193

    [url=http://www.elenota.pl/datasheet-pdf... - tu są opisy '192 i '193; pierwszy jest dziesiętny (0-9), drugi binarny (0-15), oba synchroniczne, zmiana stanu "w górę" na zboczu L->H CPU, "w dół" na L->H CPD; przy stanie 0 stan niski CPD powoduje stan niski TCD, przy maksymalnym (9/15) stan niski CPU - stan niski TCU,...

    Początkujący Elektronicy   26 Kwi 2020 19:16 Odpowiedzi: 25    Wyświetleń: 2019
  • Uzywanie zmiennych i malejaca czestotliwosc maksymalna

    zarowno vhdl jak i ise to nie moja bajka, ale moze przydadza ci sie takie ogolne uwagi: hmmm... spotykalem sie z opiniami aby unikac asynchronicznych sygnalow, ale reseta raczej pozwalano mi zostawic taki globalny reset to jakis 'guzik', ktory ma ustawic cala logike w dobrze zdefiniowany stan poczatkowy; naciskasz reset, F-F sa zerowane, zwalniasz reset;...

    Programowalne układy logiczne   26 Paź 2007 18:39 Odpowiedzi: 30    Wyświetleń: 3438
  • Licznik synchroniczny z przerzutników D - jak ustawić start od 07?

    To jak najlepiej zbudować ten układ resetu?

    Projektowanie Układów   16 Lis 2014 11:10 Odpowiedzi: 4    Wyświetleń: 1536
  • Jak zbudować prosty generator impulsów z CD4052 i NE555?

    Witam 1. licznik zamiast zliczać do 4 będzie wytwarzał krótkie szpilki ponieważ wyjście Q2 jest połączone z wejściami Reset obu przerzutników 2.multiplekser powinien mieć stan 0 na wejściu INHIBIT pin 6 ,który na schemacie wisi w powietrzu To są błędy uniemożliwiające działanie tego układu a dobrze byłoby jeszcze połączyć z masą niewykorzystane wejścia...

    Projektowanie Układów   14 Cze 2008 18:06 Odpowiedzi: 11    Wyświetleń: 4640
  • Dzielnik częstotliwości i licznik w XC3s500E - losowe działanie diod na Spartan 3E

    Licznik: begin process(reset,enable,clock) begin if reset='1' then sCV <= "0000000000000000"; end if; if CLOCK='1' then if enable='1' then sCV<=sCV + 1; end if; end if; end process; reset powinien byc w tym samym "if" co reszta licznika. Kod będzie bardziej przejrzysty. Raczej stosuj reset synchroniczny, oczywiście zależy czy...

    Programowalne układy logiczne   06 Lip 2007 07:56 Odpowiedzi: 4    Wyświetleń: 1737
  • Jak ustawić synchroniczne DRAM to FSB ratio na Shuttle AV49N?

    Wyjmij 256 i sprawdź efekt (ew. rozszerzając swe dokonania o reset BIOS-u) - to tak na dobry początek.

    Komputery Hardware   26 Mar 2010 20:57 Odpowiedzi: 4    Wyświetleń: 2444
  • [STM32][C] - Poradnik dla początkujących (bez bibliotek)

    Nie ma "przerwań synchronicznych", jest tylko błędna terminologia. SVC to jest właśnie pułapka, podobnie jak SYSCALL w innych procesorach, TRAP w jeszcze innych i INT w x86. Błąd to coś ciut innego - wszystkie Fault w ARM to właśnie błędy. Obsługa błędów ani pułapek nie zależy od priorytetu procesora. Ten "konfigurowalny priorytet" dla pułapek i błędów...

    Mikrokontrolery ARM   15 Maj 2021 12:57 Odpowiedzi: 135    Wyświetleń: 92541
  • VHDL - Błąd podczas kompilacji kodu licznika czasu

    quartus przy probie kompilacji raportuje: j.a To dlatego, że wredny html zamienia < na &lt; i itp.. Tak to przejdzie syntax i syntezę. Ale mam kilka uwag: - jak robisz proces synchroniczny w liście czułości wystarczy sam zegar - z resetem synchronicznym używaj if rst then - else - end if - uzupełnij szerokość wektórw w display, używaj others...

    Programowalne układy logiczne   17 Lut 2013 17:15 Odpowiedzi: 12    Wyświetleń: 3681
  • [STM32F4] Jak zsynchronizować 7 wyjść PWM na STM32F4 bez przesunięcia fazy?

    Do budowy falowników to ja używam dsPIC33EPxxxMUxxx. Tam właśnie masz do dyspozycji moduł 7 komplementarnych, szybkich PWM ze wspólną, podwójną podstawą czasu. Każdy kanał może być w pełni synchroniczny z pozostałymi, lub zupełnie niezależny, a trybów pracy jest kilka. A jak chcesz, to możesz mieć 14 osobnych kanałów, ale bez kontroli dead-time. Nie...

    Mikrokontrolery ARM   09 Wrz 2015 22:44 Odpowiedzi: 9    Wyświetleń: 1605
  • Dlaczego mój 4-bitowy licznik synchroniczny na przerzutnikach D nie działa?

    Witam Na uczelni mam za zadanie zaprojektować 4bitowy licznik synchroniczny na przerzutnikach typu D z funkcją reset. Troszkę poczytałem, przeanalizowałem przebiegi i zaprojektowałem, lecz bo symulacji nie działa on poprawie (nie liczy po kolei). Przesyłam schemat aby zaprezentować i łatwiej zlokalizować błąd. Proszę o pomoc bo poza tym tym sprawdzałem...

    Początkujący Elektronicy   04 Gru 2012 12:51 Odpowiedzi: 2    Wyświetleń: 1704
  • Prośba o sprawdzenie schematu synchronicznego licznika BCD.

    Narysuj poprawnie te bramki, bo narazie nie wiadomo co jest wejściem a co jest wyjściem. Co do poprawności to licznik robisz normalnie jak zwykły licznik 4 bitowy. Na bramkach robisz układ zerowania. Służą do tego dodatkowe wejścią których nie narysowałeś. Nie podałeś na jakich układach chcesz to robić, różne układy mają wykonywany reset/clear różnymi...

    Początkujący Elektronicy   25 Kwi 2009 15:18 Odpowiedzi: 9    Wyświetleń: 6183
  • Jak stworzyć układ do sekwencyjnego zapalania diod LED 12V?

    sprawdzałem, wszystko działa dobrze przerzutnik nie może być synchroniczny więc albo trzeba znaleźć zwykły RS, albo wykorzystać RESET PRESET Jedynie zauważyłem że przecież DEMUX daje same jedynki, więc wejścia RS muszą być zanegowane. Jeżeli chodzi o robienie DEMUX z dwóch to wystarczy tranzystor jako klucz.

    Początkujący Elektronicy   09 Paź 2004 13:33 Odpowiedzi: 29    Wyświetleń: 5813
  • Zdjęcia z imprez: dyskoteki, 18-stki, festyny - wasze realizacje

    bardzo zmyślna konstrukcja aczkolwiek mało precyzyjna z uwagi na brak ograniczenia w tilt i pan trzeba podczas nocy posłać z dwa razy reset z konsoli aby zaczeły chodzić synchronicznie:)lubi płatać figle jak się zabrudzi lub popsuje czujnik położenia, sygnał dmx do części świecącej przekazywany jest optycznie fajny patent ale trzeba dbać o czystość...

    Nagłośnienie Profesjonalne   20 Lut 2025 19:13 Odpowiedzi: 944    Wyświetleń: 288102
  • Czujnik MIJIA wskazuje niskie wartości - jak zaprogramować piny?

    TX oraz RX to piny komunikacji UART zastanawiam się czy też nie SET dla komunikacji synchronicznej. Jeśli chodzi o SWD_CLK to zegar dla SWD a SWD_IO to przesyłanie danych VCC oraz GND to raczej znane, zasilanie i masa. RESET może być wystawionym pinem dla resetu wewnętrznego mikrokontrolera Pozdrawiam

    Początkujący Elektronicy   27 Gru 2022 12:57 Odpowiedzi: 7    Wyświetleń: 1329
  • [FPGA] FPGA – czy stosować globalny reset asynchroniczny w układach pracujących non stop?

    Czesc, Mala dygresja do 'dobrego tonu'. Jestes pewien, ze wykladowca naciskal na uzywanie asynchronicznego resetu w FPGA? Moze odnosil sie do konkretnej rodziny ukladow jakiegos producenta? Reset w projekcie to zagadnienie globalne i nie ma jednoznacznej odpowiedzi na pytanie ktory uzyc. W wiekszosci przypadkow ktory zostanie uzyty jest wypadkowa co...

    Programowalne układy logiczne   26 Lis 2009 01:06 Odpowiedzi: 5    Wyświetleń: 3017
  • pomiar kąta obciążenia w silniku synchronicznym pomóżcie

    Przyznam, że nie do końca znam silniki synchroniczne (stosowane conajmniej niezbyt często) ale wydawało mi się, że wirnik ma zawsze tak samo bieguny - tzn. wystarczy raz skalibrować. Jeśli nie to nie ma najmniejszego problemu - dokładamy przycisk "reset" i atmega sama automatycznie przyjmie aktualną różnicę w czasach impulsów jako poziom odniesienia...

    Elektro Maszyny i Urządzenia   24 Lis 2006 21:26 Odpowiedzi: 11    Wyświetleń: 3194
  • Jak wypisać funkcje z tablic Karnaugha dla przerzutników JK i innych?

    Należałoby uściślić nieco, skoro traktujemy go jako automat i powiedzieć, że ma 14 stanów wyjść albo np. zawartości : 0..13. Przy zawartości 14 następuje reset, czyli 13 jeszcze ma być. Stanów wewnętrznych jako automat być może ma mniej, nie zastanawiałem się. Dodano po 50 Tak sobie teraz skojarzyłem, że choć jest to licznik synchroniczny, to jego automat...

    Początkujący Elektronicy   19 Mar 2005 21:32 Odpowiedzi: 17    Wyświetleń: 4440
  • ASUS A7V880: Czy mogę włączyć dual-channel z DDR 333 i 400 MHz?

    Lepiej ustawić na AUTO. U mnie jak przestawiłem kiedyś na ASUS-ie pamięci to komputer wogóle się nie uruchamiał. Dopiero reset CMOS pomógł. Jak ustawiłem pamięci synchronicznie z taktowaniem procesora to też wyrzucało błędy pod testem pamięci.

    Komputery Hardware   02 Mar 2007 07:36 Odpowiedzi: 10    Wyświetleń: 2481
  • Jak zrealizować konwerter z 4 liczników mod 10 na 4 wyświetlacze 7-segmentowe?

    Chodzi mi dokładnie oto/.../ ok, teraz jasne; robisz uklad 'licznik-dekoder' i implementujesz go 4 razy jak w przykladzie, ktory ci podalem wyzej; dodatkowo robisz 4 bitowy rejestr, ktory sluzy za 'count_enable'; po reset ten rejestr ma stan b"0001", czyli pozwala liczyc licznikowi pierwszemu; stan pierwszego licznika = 9 ustawia [synchronicznie] 'count_enable'...

    Programowalne układy logiczne   07 Mar 2008 12:43 Odpowiedzi: 22    Wyświetleń: 5372
  • Skąd biorą się wartości 0 i 1 w tablicy Karnaugha? Wyjaśnienie zasad

    Zadanie 1. Należy zaprojektowac automat, który bedzie rozpoznawac dwie specyficzne sekwencje wejsciowe: cztery kolejne „1” lub cztery kolejne „0”. Okreslone jest wejscie „w” i wyjscie „z”. Jesli w=1 lub w=0 dla czterech kolejnych cykli zegarowych, to z=1, w przeciwnym przypadku z=0. Zazebianie sie sekwencji...

    Początkujący Elektronicy   07 Gru 2007 18:23 Odpowiedzi: 12    Wyświetleń: 13254
  • Jak skonfigurować licznik szeregowy synchroniczny do liczenia od 4 do 21?

    Ok, dzieki, juz znalazlem, na jakiejs stronie licznik 3-15 (a to w sumie jeden ch...) Sprawa byla bardzo prosta :) tak jak sie spodziewalem. Nie bylem tylko pewny czy reset jak ma 0, to czy cos zmienia, ale zmienia tylko jak jest 1. Pozdro, Tomasz WL

    Początkujący Elektronicy   22 Sty 2003 18:00 Odpowiedzi: 2    Wyświetleń: 3857
  • Problem - Arduino Mini Pro mruga czerwona dioda-rozwiązany

    Na tym konwerterze jest 3V3 TXD RXD GND 5V Nia ma DTR. DTR zwykle jest podłączony przez cap. do pinu Reset i daje automatyczny 'reset' podczas programowania. Jeżeli nie ma DTR, (nie jesteś jeszcze przegrany!), - to musisz ręcznie, synchronicznie nacisnąc przysck Reset na A-Min i i wybrac z menu: File/ Upload; - gdy pokaże się: "Binary sketch size:...

    Arduino   18 Sty 2015 14:44 Odpowiedzi: 8    Wyświetleń: 4299
  • Sterownik wyświetlaczy LED na układzie FPGA - miniprojekt

    :) Tak gwoli jeszcze ścisłości jeżeli masz taki kod [syntax=verilog] if(cs_rising_edge) data <= tmp_data; [/syntax] to istnieje prawdopodobieństwo stworzenia Latch'a czyli przerzutnika reagującego nie na zbocze, ale na stan logiczny - ogolnie laczy sie unika, opozniają propagację sygnałów w chipie. Tutaj cię ratuje to, że masz powyżej posedge od...

    DIY Konstrukcje   08 Lip 2013 22:20 Odpowiedzi: 30    Wyświetleń: 22422
  • Projektowanie synchronicznego detektora sekwencji i sterownika sygnalizacji

    Co do detektora sekwencji, to: (1) masz niepodłączone wejście D drugiego przerzutnika - więc on niczego sensownego nie będzie robił; (2) X wchodzi na wejście bramki, której wyjściem jest Y - więc Y będzie zależało od aktualnej wartości X, a chyba ma zależeć tylko od wpisanej na zboczu Clock. Do co sygnalizacji: w ten sposób możesz uzyskać tylko skończoną...

    Początkujący Elektronicy   10 Gru 2018 01:47 Odpowiedzi: 2    Wyświetleń: 828
  • ATMEGA8 – konfiguracja timera do zliczania impulsów z czujnika i RPM na LCD 4x20

    Timer- np. Timer1- jako licznik zlicza impulsy zewnętrzne. Podane na odpowiednią końcówkę- T1 w wypadku ATmega8. Nie potrzebuje żadnego preskalera- bo preskaler dzieli impulsy z zegara- a tu nie ma co dzielić. Ustawiamy bity CS10-CS12 na external clock source- falling lub rising. Czy ustawiamy końcówkę T1 jako wejście- nie pamietam. Można ustawić. Ilość...

    Mikrokontrolery AVR   27 Sie 2010 20:59 Odpowiedzi: 22    Wyświetleń: 3964
  • Licznik modulo 10 liczący wstecz – jak zbudować układ cyfrowy?

    Witam! Przepraszam, że tak późno - dopiero dziś kombinowałem i rysowałem. Tu masz asynchroniczny, jak chciałeś na JK i NAND-ach. "Dedykowany" pod 7473 itp. Wyjściami licznika są wyjścia proste: A, B, C, D. Pamiętaj, że 7473 (i inne JK_Master Slave) zatrzaskuje stan wejść przy dodatnim zboczu, a wyjścia zmienia przy ujemnym (musi wystąpić pełny impuls...

    Początkujący Serwisanci   05 Mar 2006 12:54 Odpowiedzi: 10    Wyświetleń: 3921
  • Dlaczego VHDL (EPM240T100) jest lepsze od rysowania schematów?

    To układ potrzebuje aż 32 elementów Czy ktoś wie z czego może wynikać aż tak duża różnica? pewnie w logu syntezy jest informacja co zostało wyoptymalizowane i dlaczego; w pierwszym przypadku CD4017_wyjscie <= (others => '0') rejestr CD4017_wyjscie jest zawsze "0000" wiec kompilator go usunal i cala logikę, która od niego zależy, w drugim rejestr...

    Programowalne układy logiczne   18 Paź 2020 20:06 Odpowiedzi: 19    Wyświetleń: 1293
  • Jak napisać program w VHDL do odejmowania liczb 3-bitowych na CoolRunner2?

    podany przez ciebie kod zawiera masę niepotrzebnych elementów. to nie jest układ synchroniczny więc nie jest mu potrzebny zegar ani reset. parę rejestów możnaby sie spokojnie pozbyć. poza tym tracisz informację o przeniesieniu na najstarszym bicie sumy... na początek proponuję zapoznać się z działaniami arytmetycznymi w kodzie naturalnym ze znakiem...

    Programowalne układy logiczne   19 Sty 2009 18:53 Odpowiedzi: 5    Wyświetleń: 2160
  • Licznik synchroniczny typu D w kodzie 40231 - nie działa w Dsch2, proszę o sprawdzenie

    Jest dobrze co wstawiłeś bo dzisiaj się dowiedziałem jak wypełniałeś te tablice :) Mój błąd polegał na tym że nie brałem pod uwagę tych stanów wcześniejszych jak wypełniałem tablicę tylko pozycje w rzędach i normalnie na świecie wypełniałem pozycjami (czytając od góry): 01236745. Chyba wiesz co mam na myśli. Mogłeś zrobić przykładowe dla D1 bo dla D0...

    Początkujący Elektronicy   25 Lis 2015 12:29 Odpowiedzi: 9    Wyświetleń: 2823
  • Zegar czasu rzeczywistego VHDL - błędy w symulacji i ustawieniach czasu

    Dobrze, że kolega J.A to zauważył. Chcesz zbudować proces synchroniczny, trzymaj się tej prostej regułki. To jest dobrze: if (clk'event and clk='1') then --tutaj umieszczamy wszystko, bez wyjątku end if; To jest źle: if (clk'event and clk='1') then -- proces synchroniczny end if; if (x=500000) then -- ???, nie synchronizowane...

    Programowalne układy logiczne   06 Sty 2011 17:13 Odpowiedzi: 21    Wyświetleń: 3531
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    Witam ponownie. Cos duzo tych zadan z cyfrowki dostales na Swieta :-). Licznik zliczajacy w naturalnym kodzie dwojkowym modulo 13 (zakladam, ze liczy w gore) robi sie bardzo prosto. "Modulo N" oznacza ilosc stanow licznika. Ma byc 13? Tzn najprosciej gdy zlicza od zera QDQCQBQA=0000 az do QDQCQBQA=1100 - w sumie jest wiec 13 stanow. Gdy pojawi sie stan...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 12635
  • Licznik modulo 53 na układzie 7493 w Altera Quartus - jak przedłużyć czas trwania liczby 52?

    Tu masz rysunki wyjaśniające obie idee skracania cyklu licznika. Synchroniczny (CLK - przebieg wejściowy, A52 - asynchroniczne, kombinacyjne wykrycie stanu 52, RST - synchroniczny reset licznika na wyjściu przerzutnika D, stan - stan licznika, zliczona liczba): http://obrazki.elektroda.pl/8337402500_1... Asynchroniczny (CLK - przebieg...

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4737
  • Różnice między mikrokontrolerami ATtiny2313 a AT90S2313 – porównanie parametrów

    W czym lepszy ATtiny2313 od AT90S2313 ? Po kolei : 4xPWM, +10MHz Clock(max. 20MHz), Boot block, dodatkowe rozkazy ( spm , lpm do dowolnego rejestru, również z postinkrementacją), USI, USART (tryb synchroniczny), debugWIRE, wewnętrzny RC, na upartego 18 linii I/O, BOD, ulepszony Power On Reset... Pewnie coś jeszcze pominąłem... ;) -- Pozdrawiam, Daniel

    Mikrokontrolery   02 Wrz 2005 12:39 Odpowiedzi: 11    Wyświetleń: 3090
  • Latarka akumulatorowa LED, prośba o sprawdzenie schematu.

    Wielkie dzięki za wskazówki. Mam jednak kilka pytań. To jest przetwornica boost, więc stosowanie jednej diody LED z Uf ok. 2,7V jest bez sensu przy zasilaniu 4,5V. Skoro przetwornica utrzymuje stały prąd płynący przez diodę LED napięcie ma tutaj jakiekolwiek znaczenie? Dodatkowo napięcie zasilające będzie najczęściej w zakresie 2,5V-3,6V (planuję używać...

    Początkujący Elektronicy   14 Mar 2019 12:50 Odpowiedzi: 28    Wyświetleń: 2256
  • Dodatkowe impulsy w symulacji CPLD EPM3064ATC44-10 w Quartus II

    nie znam się co prawda na Verilogu, ale kolega J.A miał na myśli (a może się myle :) że proces albo jest asynchroniczny albo synchroniczny, tzn jeśli na liście czułości znajduje się 'clk' to nie ma prawa tam być nic innego, stąd przypuszczam że będzie to wyglądać mniej więcej tak: always (at) (posedge clk) begin if (reset) count <=...

    Programowalne układy logiczne   08 Maj 2008 14:44 Odpowiedzi: 8    Wyświetleń: 2943
  • Syulacja przetwornicy BUCK z prostownikiem synchronicznym

    Zrobiłem coś takiego: http://obrazki.elektroda.net/89_12147702... Nie ma komparatora, jest źródło napięcia z modelem behawioralnym (B1), które podaje "jedynkę" na wejście przerzutnika R-S, gdy napięcie na drenie jest większe od zera. jest tam też pseudostabilizacja, na drugim przerzutniku, ale tym to się akurat raczej nie masz co martwić, zresztą...

    Nauka Elektroniki, Teoria i Laborki   29 Cze 2008 21:28 Odpowiedzi: 4    Wyświetleń: 3392
  • Komputer włącza się. Brak obrazu. Monitor jest sprawny.

    Byc może ustawiłeś taką częstotliwość odświerzania, jakiej ten monitor nie obsługuje. Włącz kompa w awaryjnym i jeśli wszystko będzie ok, to odinstaluj sterowniki od grafy, reset i ponowna instalacja. Co do taktowania, to najlepiej i najwydajniej jest jak procek chodzi na FSB synchronicznie do RAMu.

    Komputery Hardware   21 Maj 2005 11:34 Odpowiedzi: 7    Wyświetleń: 1791
  • Licznik synchroniczny 74193 modu11 czy ktoś wie jak zrobić

    Witam. Modulo 11 w przód trzeba zdekodować stan 1010 i podać impuls na wejście Reset. Dekoder to dwuwejściowa bramka AND. Na wejścia podajesz stan z wyjść B i D. W tył: stan 0110 -> bramka czterowejściowa AND. Z wyjść B i C plus A i D zanegowane. Pzdr.

    Początkujący Elektronicy   02 Cze 2006 18:30 Odpowiedzi: 2    Wyświetleń: 2385
  • Jak wykonać operację a <= a + b w procesie synchronicznym VHDL?

    Witam, przepisałem moduł od nowa wyrzucając wykrywanie przekroczenia wartości próbek do schematu. Poniżej kod który działa na Spartanie 3 AN 700 nawet przy zegarze 50 MHz. U mnie będzie pracował przy 10 MHz, więc mam nadzieję że tym bardziej będzie dobrze liczył. ----------------------------------------... -- Company:...

    Programowalne układy logiczne   02 Mar 2011 12:16 Odpowiedzi: 16    Wyświetleń: 2322
  • Jak połączyć stoper i generator liczb w projekcie na Cyclonie (VHDL)?

    nie podoba mi się: dzielnik_001Hz : process (CLK_50MHZ) begin if rising_edge(CLK_50MHZ) then counter <= counter + 1; if (counter = "111101000010010000") then CLK_001HZ <= not CLK_001HZ; counter <= "000000000000000000"; end if; end if; end process; nie sprawdzałem tego, po dokładnej analizie może...

    Programowalne układy logiczne   29 Sty 2008 02:17 Odpowiedzi: 9    Wyświetleń: 2805
  • Jak zmodernizować sterowanie szybami z przerzutnikiem RS i komparatorem?

    Myślę że korzystniejszym rozwiązaniem było by zastosowanie przerzutników synchronicznych typu "D" z dodatkowymi wejściami "set" i "reset". Na wejścia te mógłbyś wtedy podawać impulsy z wyłączników skrajnych, wymuszające stany na wyjściach Q i nieQ w zależności od tego czy szyba się zamknęła czy otwarła się do końca. Zastosowanie przełączników skrajnych...

    Projektowanie Układów   17 Wrz 2007 17:53 Odpowiedzi: 5    Wyświetleń: 1717
  • Jak poprawnie podać sygnały wejściowe do FIR Compiler Altera w modulatorze sigma-delta?

    Nie mozesz robic tak ze dzielisz zegar a potem wyjscie tego dzielnika podajesz na wejscie zegarowe innego modulu. Tak sie da ale sie nie robi, poczytaj warningi quartusa, napewno napisal cos o "gated clocks". To wszystko ma chodzic na zegarze z wejscia/pllki, jak chcesz miec podzielony to wyjscie z dzielnika przypnij do wejscia enable. Reset powinien...

    Programowalne układy logiczne   01 Wrz 2008 11:45 Odpowiedzi: 26    Wyświetleń: 3067
  • Jakie narzędzia do programowania kart czipowych polecacie?

    UAZ nie wiem co dla ciebie znaczy NAJTANSZE ale wydaje mi sie ze najprostrze sa karty synchroniczne (np telefoniczne) komunikacja polega na tym ze dajesz impuls reset a na wyjsciu danych masz pierwszy bit potem jak podajesz impuls zegara to masz kolejne bity wyzwalanie jest zboczem ale nie pamietam ktorym. Mam gdzies do tego jakis opis jak znajde to...

    Warsztat elektronika   21 Kwi 2003 16:22 Odpowiedzi: 16    Wyświetleń: 4134
  • Jak uruchomić retro częstościomierz TTL z lat 80-tych bez dokumentacji?

    Na pewno miał to być częstościomierz. Do licznika impulsów cała ta nieszczęsna płytka w ogóle nie jest potrzebna - tryb taki osiąga się przez wciśnięcie isostatu który zwiera wejście z wyjściem. Prawdopodobnie drugi 7474 na pokładzie ma za zadanie podzielić sygnał zegarowy przez 2, tak żeby reset następował przy co drugim cyklu. Być może przy wyższych...

    Projektowanie Układów   27 Maj 2010 12:29 Odpowiedzi: 13    Wyświetleń: 5677
  • Komputer 8-bitowy własnej roboty

    Klawiatura PS/2 podłączona do jednego z kanałów SIO (w trybie synchronicznym). Nie ma żadnych mikrokontrolerów. GALe to najmniejszy problem bo to wiekszość tanich chińskich programatorów obsługuje. Używałem TL-866 a wcześniej Galblasta. 22V10 wystarczyłby jeden ale zakupione na ebayu okazały się malowankami i musiałem się zadowolić tym co miałem czyli...

    DIY Konstrukcje   10 Maj 2021 18:55 Odpowiedzi: 29    Wyświetleń: 46707
  • Jak interpretować Qn w przerzutniku RS na podstawie tablicy prawdy?

    Witam, mala korekta, wspominasz o przerzutniku RS a zalaczasz schemat !R !S (nieR NieS), oryginalny RS wymaga bramek OR/NOR nie AND/NAND. Ogolnie to przerzutnik nie synchroniczny i jezeli stany na obu wejsciach beda identyczne to stany wyjsc beda przypadkowo ustalone. Wejscie R to tzw reset - kasuje przerzutnik a S to set ustawia wyjscie. !Q jest negacja...

    Nauka Elektroniki, Teoria i Laborki   25 Maj 2019 17:08 Odpowiedzi: 7    Wyświetleń: 4581
  • Jak zbudować zamek na kartę chipową na mikrokontrolerze '51?

    TPSA i inne do automatow to karty synchroniczne C+ SHELL GSM ORLEN asychoniczne te pierwsze jest prosciej obslugiwac bo podaje sie impuls RESET na wyjsciu danych pojawia sie pierwszy bit potem na kazdym zboczu (nie pamietam ktorym) CLK kolejne bity. te drugie jest prosciej zrobic samemu na karcie Gold/Silver/Green Wafer lub kartach FUN W poszukaj w...

    Mikrokontrolery   06 Kwi 2007 13:31 Odpowiedzi: 11    Wyświetleń: 6141
  • ESP8266 (ESP-07), DHT22, serwer WWW - Po pewnym czasie strona się nie wyświetla.

    Wygląda na to, że zadziałał Watch Dog, ale z jakiej przyczyny nie wiem. Zwykle tak się zdarza, kiedy w programie jednocześnie używa się asynchronicznego serwera WWW wraz z inną biblioteką pracującą w trybie synchronicznym po TCP lub UDP. Gdy połączyłem zalinkowany program z tym Network Time Protocol to miałem taki efekt. Dobrze byłoby, abyś pokazał...

    ESP8266 i ESP32   23 Kwi 2020 21:40 Odpowiedzi: 52    Wyświetleń: 2982
  • ITI 5800s (biały) migający napis TEST oraz pulsująca dioda zasilacza

    Witam, Mam problem z dekoderem ITI-5800s, już mam dość serwisowania i korespondencji z doradcami... Próbuję odpalić trzecią z kolei, teoretycznie 'nową', sztukę ww. dekodera, niestety bez zamierzonego rezultatu. Dekoder samoczynnie się resetuje po paru minutach. Bez względu na to czy jest podpięta antena czy nie. Reset fabryczny też nie pomaga, soft...

    SAT Początkujący   21 Kwi 2012 06:37 Odpowiedzi: 4    Wyświetleń: 8681
  • Zegar z DCF77 - AVT5022 brak funkcji Timer i Stoper

    Oczywiście, że warto go odratować. Źródła w Basicu w wersji V4 są na Elektrodzie. Po lekkim uporządkowaniu wrzucam poniżej. [syntax=vbnet]$regfile = "m8535.dat" $crystal = 8000000 Config Clock = Soft , Gosub = Sectic Config Sda = Portd.1 Config Scl = Portd.0 Config Timer1 = Timer , Prescale = 64 Config Timer0 = Timer , Prescale = 64 On Timer1 Dcf_start...

    Projektowanie Układów   23 Sie 2023 20:57 Odpowiedzi: 24    Wyświetleń: 741
  • Jak zaprojektować liczniki MOD8, MOD10, MOD11?

    liczniki mod 2,4,8,16 czyli wielekrotnośc 2 robimy bez zerowania... normalnie jak zerujemy to dajemy bramke np. nand którą podpinamy tam gdzie mamy "1" na wyjściach przerzutnikach.. i dajemy ją do reseta.. a z modulo 2,4,8,16 nie dajemy... w przypadku licznika synchronicznego o mod. parzystym konstruujemy go na jonsonie czyli liczba wyprowadzeń x 2...

    Początkujący Elektronicy   08 Cze 2003 00:15 Odpowiedzi: 7    Wyświetleń: 1820
  • Multiwibrator astabilny w CD4047 - schemat logiczny i zmiany

    Niech ktoś spojrzy obiektywnym okiem i spróbuje przeanalizować. https://obrazki.elektroda.pl/8791809600_... Zenerka na napięcie trochę powyżej "wejściowej", logicznej jedynki powinna "wyrównać" pierwszy impuls. Sygnał CLK wzięty z kondensatora, żeby przerzutnik D zdążył "zdjąć" reset przy starcie, który - niestety - będzie trochę opóźniony....

    Projektowanie Układów   28 Mar 2025 06:43 Odpowiedzi: 15    Wyświetleń: 303
  • VHDL – szeregowe wejście, rejestr 8 bit, CRC, wyjście równoległe z nagłówkiem

    Co prawda dopiero rozpoczynam swoja przygode z vhdl'em, ale postaram sie Ci pomoc. Patrzac na Twoj kod sadze, ze troche zle sie do tego zabrales. Nie jestem pewien jak zachowa sie ten process po syntezie, gdyz nigdy sie z taka konstrukcja nie spotkalem. Podejrzewam, ze wynikiem tego kodu moze byc proces realizujacy tylko przypisania z pierwszej wersji...

    Programowalne układy logiczne   24 Maj 2008 21:51 Odpowiedzi: 5    Wyświetleń: 1697
  • Czy da się wykorzystać Karty SIM jako katry do zapisu danych

    witam Byly 2 artykuly na temat kart chipowych w Ep. "Autocerber" i chyba "zamek szyfrowy na karty chipowe". tam byly opisane wyprowadzenia kart chipowych (we wszystkich kartach sa takie same), byl tez schemat transmisji z taka karta. Udalo mi sie skomunikowac z taka karta (zczytac informacje) najprostsza transmisja synchroniczna 3 przewodowa: 1-zegar,...

    Mikrokontrolery   25 Maj 2006 13:22 Odpowiedzi: 3    Wyświetleń: 3185
  • MSI KT600 i Sempron 2200+ - problem z podkręcaniem FSB powyżej 200MHz

    Witam Wracam jeszcze do tematu bo zmienilem plyte na Epox 8RDA+. Niestety nie wiem czy nie na gorsza :( Bo na tamtej starej wszystko chodzilo juz tak jak trzeba synchronicznie 200/200 a teraz jedynie 166/166 i koniec. Wyzej pojawia sie czerwony napis "Flash Bios. Dont turn off or reset your system. Please wait" i wraca do poprzednich ustawien. I co...

    Komputery Eksploatacja   10 Sie 2005 12:28 Odpowiedzi: 33    Wyświetleń: 3696
  • Jak zapamiętać wartości wektorów na BASYS3 w VHDL dla kalkulatora boolowskiego?

    Zrób swój design synchroniczny z zegarem - to co napisałeś będzie zamienione na kombinatoryczny układ bramek co jest złym podejściem. Twoja maszyna stanów ma czekać na naciśnięcie klawisza, wtedy zapamiętuje jeden wektor i skacze do innego stanu gdzie czeka znów na naciśnięcie klawisza a gdy to nastąpi skacze do stanu gdzie wylicza wartość, wyświetla...

    Programowalne układy logiczne   16 Wrz 2018 13:05 Odpowiedzi: 3    Wyświetleń: 690
  • Verilog licznik 4-bitowy nie działa w ModelSim – brak sygnału na wyjściu D

    Twój opis jest NIESYNTEZOWALNY , zastanów się dokładniej nam takim czymś: always wykonują się współbieżnie względem siebie, i do tego mają dostęp (zapis), do tego samego reg ! Unikaj takich rzeczy na przyszłość... Zamień to na jeden blok, nawet jak w symulacji Ci pójdzie, na pewno nie przejdzie syntezy. Dobra, widać po poniższej linijce, że nie masz...

    Programowalne układy logiczne   08 Maj 2011 10:51 Odpowiedzi: 16    Wyświetleń: 3745
  • Licznik binarny na przekaźnikach, czyli wstęp do zegara

    Witam szanowni forumowicze. Temat może wydawać się nieskończony i w pewnym sensie tak jest, bo do pełnego zegara jeszcze długa droga, jednak to, co chcę przedstawić jest pełnym, działającym i sprawdzonym rozwiązaniem licznika binarnego tylko i wyłącznie na przekaźnikach. Zawsze mnie korciło, żeby zbudować zegar na tych elementach, ze względu na urok,...

    DIY Konstrukcje   15 Maj 2019 03:18 Odpowiedzi: 74    Wyświetleń: 11535
  • Wybór programu do syntezy VHDL z obsługą przerzutników RS, alternatywy dla Synplify

    Już nieaktualne, automat zaprojektowałem jako synchroniczny taktowany zegarem i daje się go zsyntezować bez problemu. Tzn mam pewną nieścisłość, umieściłem na liście wrażliwościowej sygnał RST i najpierw sprawdzam RST a potem CLK, ma to działać jak reset asynchroniczny. Niestety mimo że moje scalaki mają makrocele z resetem i setem asynchronicznym zawsze...

    Mikrokontrolery   11 Paź 2003 20:27 Odpowiedzi: 17    Wyświetleń: 3528
  • Podkręcanie Athlon 2400+ XP na płycie Epox 8RDA - optymalne ustawienia?

    a masz zainstalowana latke na Blastera?? u mnie na nieopdkreconym resety byly tak co kilka dni na podkreconym odrazu,zanim sie polapalem o co chodzi, na to schorznie reinstal windy + format dysku pomogl by na pewiem czas proponuje tez czs latency na 3 i taktowanie pamieci synchroniczne,z taktem procesora jezeli na tych ustawieniach jest stabilnie to...

    Komputery Eksploatacja   11 Mar 2005 01:12 Odpowiedzi: 16    Wyświetleń: 5491
  • Jak przetestować działanie chronometru - wyświetlacz działa, ale nie wszystkie funkcje

    Wiele układów cyfrowych działa synchronicznie - ma jakiś zegar, zmiany są w takt tego zegara; wiele z nich ma jakiś sygnał reset, ustawiający określony stan całego układu, bądź ma inną możliwość rozpoznania stanu "początkowego" i ten stan może być powtarzany. Jeśli tak jest, to można zastosować metodę sygnatur - dla każdego punktu układu zapisuje się...

    Początkujący Elektronicy   11 Sty 2018 07:50 Odpowiedzi: 3    Wyświetleń: 390
  • Komunikacja synchroniczna pomiędzy dwoma procesorami '51

    Mam pare pytan o kwestie, ktore wzbudzily moje watpliwosci przy ukladzie takiej komunikacji: - Mam zewnetrzne pamieci RAM( 2K x 8 ) oraz EPROM ( 8K x 8), w schemacie ukladu pamiec RAM adresowana jest bezposrednio z mikrokontrolera, zaś w przypadku ROM mlodsze bity adresu wpisywane sa do zatrzasku sygnalem strobu ALE. Kwestia adresowania ROMu nie budzi...

    Początkujący Elektronicy   23 Sty 2005 15:54 Odpowiedzi: 4    Wyświetleń: 1449
  • [Atmega644p] Jak wykonać programowy reset Atmega644p bez użycia watchdog?

    Dobra nie będą Cię więcej męczył tylko powiem. To nie będzie reset. Podczas sprzętowego resetu są asynchronicznie resetowanie wszystkie rejestry specjalne. Czyli piny są ustawiane jako Hi-Z, Timery są zatrzymywane, ADC/I2C/SPI/UART zatrzymywane, przerwania wyłączane itd. itp. Skok pod adres 0 nie zrobi tego. K***a. Nic nie zrobi. Żeby to zrobić trzeba...

    Mikrokontrolery AVR   14 Mar 2010 12:58 Odpowiedzi: 11    Wyświetleń: 1994
  • Jak zaprogramować ATmega8 i ustawić fuse bity?

    Jest szczegółowy opis tego jak to zrobić sygnał po sygnale. Tylko o ile dobrze pamiętam to ze stronnicowaniem jest błąd - ale to wyjdzie ci w testach. generalnie wszystko jest tam proste. 1. Reset procka i trzymamy cały czas (dla avr stan niski) 2. ściagamy sygnały wyjściowe (sck i mosi na poziom niski)(głównie chodzi o sck) 3. synchronicznie wysyłamy...

    Mikrokontrolery   09 Paź 2006 15:38 Odpowiedzi: 3    Wyświetleń: 951
  • Sterowanie silnikiem krokowym 3V – układ z przerzutnikiem SET/RESET, kierunek obrotów

    Układ ten musi byś sterowany synchronicznie. To znaczy gdy na jeden tranzystor NPN podajesz 5V na drugim musi być 0V i na odwrót. I otwarcie lewego NPN (na bazie prawego NPN musi być 0V) otwiera prawy PNP. I odwrotnie Otwarcie prawego NPN ma otworzyć lewy PNP http://en.wikipedia.org/wiki/Image:H_bri... http://obrazki.elektroda.net/60_12163157...

    Początkujący Elektronicy   14 Lut 2012 21:52 Odpowiedzi: 9    Wyświetleń: 2212
  • Prośba o pomoc w skomentowaniu kodu źródłowego VHDL

    Błądzisz synu, Twoja droga jest kręta i wyboista, ale weź te kajdany oświaty i następnym razem umieszczaj kod w przystosowanych do tego znacznikach, bo zdenerwujesz God-moda (; library IEEE - deklarujemy użycie biblioteki z standardu IEEE, używamy do tego pakietu STD_LOGIC_1164 , który nadaje nam zgodność z przyjętymi standardami języku opisu. Czyli...

    Programowalne układy logiczne   04 Lip 2010 21:39 Odpowiedzi: 3    Wyświetleń: 2412
  • Kluczowanie PWM na AVR. Jak to elegancko rozwiązać?

    Jak wszystkim wiadomo wiatraki PC aby możliwy był odczyt obrotów muszą być kluczowane od strony 12V. Jak będziesz kluczował, to dostaniesz sieczkę na wyjściu czujnika obrotów. Trzeba zasilać stałym napięciem. Żeby je uzyskać wystarczy na wyjściu PWM dodać filtr dolnoprzepustowy (najlepiej LC ze względu na małe straty). A o sposobie z kluczowaniem to...

    Mikrokontrolery   14 Paź 2006 18:49 Odpowiedzi: 6    Wyświetleń: 3542
  • Buczenie elektrowrzeciona 2,2kW po wymianie falownika na inny model

    Ok, w poniedziałek pokombinuję z ustawieniami. P211 i P212 po moim resecie do ustawień fabrycznych są ustawione na 100 więc zmiana powinna coś dać. Magnesowanie standardowo 1ms więc zmiana na 0 w zakresie 0-500ms chyba niewiele da ale mam nadzieję że się zdziwię. Praca w trybie U/f jest możliwa wg. instrukcji i odnosi się do wspomnianych parametrów...

    Automatyka Przemysłowa   17 Paź 2012 08:37 Odpowiedzi: 20    Wyświetleń: 3642
  • [STM32][Eclipse] - Zapis pamięci I2C EEPROM 24...1024/1025/1026

    No niby tak... ale jak wiadomo i2c działa synchronicznie z zegarem więc to zegar dyktuje jak to ma być. Np mam napisaną pod windowsem aplikacje która działa z FT232 i tak naprawdę jak patrzy się na oscyloskop to chodzi to w cały świat (nierówne przebiegi na CLK) a jednak idealnie to śmiga z pamięciami, procesorami Attiny itd, przynajmniej na tym to...

    Mikrokontrolery ARM   24 Sty 2017 18:27 Odpowiedzi: 24    Wyświetleń: 4536
  • SL-75FRN2 nForce2 - reset komputera, sterowniki nForce i Detonatory

    Mam tą płytę i śmigo aż miło.Przywróć ustawienia fabryczne BIOSU ,przestaw tylko FSB na 133,popatrz jak masz ustawioną zworkę na płycie koło procka (ma być 100-166) ,jak pamięci masz DDR 333 to ustaw je synchronicznie i musi chodzić. Chyba że , wentyl jest słaby,pamięci uszkodzone to już inna sprawa ,aha -wgraj tylko orginalne stery płyty głównej (...

    Komputery Hardware   01 Wrz 2004 15:43 Odpowiedzi: 7    Wyświetleń: 989
  • LG L5 - Nauka pływania / Odpala się tylko LOGO Operatora

    Witam Dostałem od znajomej telefon w nadziei iż coś jej pomogę w odratowaniu telefonu mianowicie LG L5 (E460) - telefon jest po wizycie na lekcjach nauki pływania synchronicznego w "kiblu" Zaraz po naukach został on prędko rozkręcony i wysuszony ofc bez uprzedniego włączania aby się nie "ugotował" Obecnie niestety telefon tylko się włącza do momentu...

    Smartfony Początkujący   10 Cze 2014 18:24 Odpowiedzi: 3    Wyświetleń: 1545
  • vaillant ecotec plus 612 - błąd V.61

    Podpinam się pod temat ze spostrzeżeniem. Błąd f.61, przy zachowaniu poprawnej pracy zespołu gazowego (po resecie pieca pracuje kilka-kilkadziesiąt godzin) to wina kondensatorów. U mnie (Ecotec plus VC186/3-5) były właśnie takie objawy. Pracuje sobie poprawnie, fajnie i w ogóle, aż tu nagle (często synchronicznie z przełączaniem zaworu wielodrogowego)...

    Systemy Grzewcze Użytkowy   08 Gru 2021 22:51 Odpowiedzi: 13    Wyświetleń: 12570
  • SIM300CZ - Sposób zasilania układu z modułem GSM

    Na stole wszystko było OK, ale po zamontowaniu na samochodach zaczynały się cyrki. Modemy się po prostu nagminnie zawieszały! Woziliśmy się z tym problemem przez długi czas; trwał ping-pong z operatorami sieci. W końcu daliśmy za wygraną i zastosowaliśmy reset raz na dobę. Problemy z łącznością poprzez GSM prawie zniknęły. Hmm... A może winne temu...

    Początkujący Elektronicy   05 Sie 2015 23:13 Odpowiedzi: 7    Wyświetleń: 1020
  • Jak prawidłowo podłączyć siłowniki SPJ z sterownikiem EM-337?

    Witam, posiiadam 4 siłowniki tego typu: https://www.elektrobim.pl/sklep/silownik... Do tego mam sterownik EM-337: https://www.oemautomatic.pl/ui/product-r... Chciałbym synchronicznie sterować w górę...

    Automatyka bram, szlabanów, rolet   27 Lis 2019 20:33 Odpowiedzi: 3    Wyświetleń: 549
  • ATMega8 - Jakie rejestry timera zerować przed uśpieniem mikrokontrolera?

    Pierwszy i bardzo poważny problem zauważyłem w funkcji TIMER1_COMPA, jest nim linijka rjmp uspij. Gdy się wykona, to przerwania pozostaną zablokowane, oraz kilka bajtów na stosie zostanie uwięzionych - głównie adres powrotu z przerwania. Można temu zaradzić poprzez wstawienie pop + pop + sei przed rjmp. Drugi problem, to karygodna pętla główna - dławienie...

    Mikrokontrolery AVR   15 Sie 2014 19:22 Odpowiedzi: 17    Wyświetleń: 2586
  • [VHDL] Automat FSM - błąd w wykrywaniu sekwencji czterech 1 lub 0

    Witam Miałem takie zadanko: Należy zaprojektowac automat, który bedzie rozpoznawac dwie specyficzne sekwencje wejsciowe: cztery kolejne „1” lub cztery kolejne „0”. Okreslone jest wejscie „w” i wyjscie „z”. Jesli w=1 lub w=0 dla czterech kolejnych cykli zegarowych, to z=1, w przeciwnym przypadku z=0. Zazebianie...

    Programowalne układy logiczne   08 Lut 2008 08:50 Odpowiedzi: 18    Wyświetleń: 2605
  • Tworzenie sieci Hopfielda w VHDL (ISE) do rozpoznawania liter - problem z kodem

    Natomiast mam trudności z językiem VHDL. choc slowko jakie trudnosci, z czym? pobowales choc skompilowac ten kod czymkolwiek, przeczytales uwagi/ostrzezenia kompilatora? bez wnikania w merytoryke samego kodu rtl, uwagi co do samego zapisu, ktory nieco przeedytowalem, by sie latwiej czytalo (glownie dodalem nieco spacji): [syntax=vhdl] library IEEE;...

    Programowalne układy logiczne   05 Wrz 2018 12:00 Odpowiedzi: 2    Wyświetleń: 735
  • Jak użyć modułu konwersji binarnej na RNS w Verilog?

    Witam. Od razu zaznaczę, że jestem bardzo początkujący w Verilogu. Potrzebuję w jednym module użyć innego modułu, który zamieni zmienną w postaci binarnej na postać w systemie resztowym RNS. Moduł do konwersji mam gotowy, tyle że nie bardzo ogarniam jak go użyć . Oto listingi kodu, to jest główny moduł: [syntax=verilog] `timescale 1ns/1ps ////////////////////////////////////////...

    Programowalne układy logiczne   30 Maj 2013 08:57 Odpowiedzi: 1    Wyświetleń: 1773
  • MSP430G2553, czujnik HIH6130, brak komunikacji przez I2C

    Witam. Potrzebuję oprogramować czujnik wilgotności HIH6130. Czujnik komunikuje się z MSP430G2553 przez magistralę I2C. Z technical note czujnika wynika że sprawa sprowadza się do wysłania sygnału startu, następnie do wysłania adresu czujnika (tu 0x27). W efekcie powinniśmy otrzymać 4 bajty danych (2bajty wilgotność, 2 bajty temp). Napisałem taki program...

    Mikrokontrolery Początkujący   13 Sie 2012 22:23 Odpowiedzi: 3    Wyświetleń: 2229
  • Dołożenie pamięci RAM nieprawidłwe CL, RCD, RP,

    Czy chodzi o przywrócenie defaultowych ustawień biosa (to robiłem), czy o reset zworką?? Możesz użyć zworki. No i jeszcze gdzie wyczytałeś, że one chodzą na 667MHz??? https://obrazki.elektroda.pl/5992213900_... Gościu co handluje pamięciami, na podstawie tych samych screenów twierdzi, że chodzą właśnie na tych 1066 i stąd konflikty...

    Komputery Co kupić?   11 Mar 2018 20:42 Odpowiedzi: 45    Wyświetleń: 2733
  • [vhdl] Jak zsynchronizować zegar 1Hz i przyciski na Nexys2?

    Kiedy się procesów (czy pojedynczych encji) nie synchronizuje zegarem, to kiedy właściwie zmienia się ich stan ? Dodawanie wszędzie takiej synchronizacji z kolei wydaje się być uciążliwe (pchanie w każdy blok CLK), choć z drugiej strony umożliwia synchronizację na takty z różnych zegarów. Nie da się tego jakoś sensownie użyć ? Na przykład encji użyć...

    Mikrokontrolery Początkujący   14 Kwi 2012 23:29 Odpowiedzi: 3    Wyświetleń: 1698
  • Jak zaprojektować licznik rewersyjny JK modulo 4 i synchroniczny na UCY 74192?

    Zasadniczą właściwością synchronicznej pracy licznika jest jednoczesna (bez żadnych opóźnień) zmiana stanu wszystkich wyjść "Q" układu po każdym narastającym zboczu przebiegu taktującego. Przebieg ten jest doprowadzony równolegle do wejść zegarowych wszystkich przerzutników. Oczywiście nie bezpośrednio lecz przez bramkę AND. Jedno z wejść bramki AND...

    Początkujący Elektronicy   29 Mar 2008 16:19 Odpowiedzi: 4    Wyświetleń: 9302
  • Projekt układu elektronicznej kostki do gry z licznikiem 74160 i wyświetlaczem 7-seg

    (...) (P = 0). Uzyskany rezultat przedstawić w postaci dziesiętnej na wskaźniku 7-segmentowym. W rozwiązaniu wykorzystaj licznik synchroniczny 74160 oraz bramki logiczne. Zaobserwować działanie układu zarówno dla częstotliwości maksymalnej (F_max), jak i częstotliwości minimalnej (F_min) sygnału zegarowego. Wstępna ocena dostępnych informacji Analiza...

    Początkujący Elektronicy   11 Lis 2024 22:01 Odpowiedzi: 1    Wyświetleń: 156