Witam ! mprintf(format, %4.2f) - (to analogicznie do C jest formatowanie wyświetlania liczby - 4 znaki, 2 po przecinku). Wypróbuj może zadziała.
Mając do dyspozycji wszystkie dane i równania, to nie widzę różnicy w zamodelowaniu powyższych urządzeń. Wybierz to, co Cię najbardziej ciekawi.
Potrzebujesz dodatku który będzie liczył wyrażenia na symbolach... W matlabie to się nazywa symbolic w scilabie jest kilka różnych a podstawowe są zaimplementowane w programie masz przykład niżej... [syntax=scilab] -->addf(‘x’,’1’) ans = x + 1 -->x = poly(0,’x’); -->x+1 ans = 1+x -->y = cmb_lin(addf('x','1'), 'x^2+x+1',...
matlab: help cheby2 a w demo jest dorzucony program do projektowania filtrow DP (w tym rowniez Chebyszewa
Darmowymi odpowiednikami są Scilab i Octave. Nie jest to w 100 % zgodne z Matlabem, ale stanowi jakąś alternatywę. Trudniej będzie zastąpić Simulinka. Scilab ma jednak XCOS.
dodam że wyżej wymienione okna można włączyć z poziomu zakładki "applications", ale działa to w taki sposób że nowe okna, nie nakładają się na ekran z konsolą i po kliknięciu w ekran, okna są minimalizowane. Ponowne zainstalowanie aplikacji też nic nie dało.
Zainstaluj SciLab-a. W pakiecie jest aplikacja przypominająca Simulink-a.
Witam, mam problem z zainstalowaniem dodatkowych bibliotek toolbox do Scilaba. A mianowice pobieram bibliotekę, wpisuję odpowiednią komendę w consoli programu Scilab, ale pakiet się nie instaluje. Ma ktoś może pomysł jak to zrobić, jak naprawić. Może jest jakiś inny sposób na zainstalowanie tych bibliotek.
Właśnie generacji z Excel'a chciałem uniknąć bo jak napisałem będzie to nie wygodne przy dużej liczbie elementów tablicy. Musi być wyliczony cały sinus ponieważ operacje które muszą się wykonać jak najszybciej. Jak na razie zostaje tylko Excel. Bądź Pan Pro-Inżynier, użyj Pan fid = mopen('probki.c', 'w'); if (fid == -1) error("upss...
Post był raportowany. Przeniosłem z: Ogólnie
Witam. Chciałbym znaleźć wszystkie pierwiastki równania w postaci: [syntax=scilab] 0=sqrt(2/%pi).*((X+%i*Y)^36.5).*(%e^(X+%... [/syntax] Próbowałem znaleźć rozwiązanie tu: [url=]https://www.wolframalpha.com/input... ale nie znajduje mi wszystkich pierwiastków....
Problem polega, że mam dwa rozwiązania funkcji różniczkowej (różnymi metodami) w Xcosie i muszę je porównać. Przejrzałem bloki Xcos, ale nie widzę nic, co mogłoby mi pomóc. Fajnie, gdyby skonstruować coś, co po podpięciu dwóch linii sygnałowych wypluje liczbę od 0 (jeśli sygnały nie mają ze sobą nic wspólnego) do 1 (jeśli są identyczne). Z góry dziękuję...
Nie ma to absolutnie sensu. Skąd tu sinc??? Przecież to jest sin(x)/x. Generalnie: n = [0:127]; omega1 = %pi/20; y1 = sin(omega1*n); omega2 = %pi/4; y2 = sin(omega2*n); ... Zastanów się co dalej z tym zrobić.
takie kalkulatory to nie problem ale ja chce wiedziec dlaczego to nie wychodzi z tego wzoru :) moze ja cos zle licze :) mi potrzebne jest obliczenie z tego wzoru po kolei
Witam. Nie wiem czy ktoś w ogóle mi pomoże, bo Scilab nie jest najpopularniejszym programem do obliczeń naukowych co widać nawet na elektrodzie, jednak spróbuję. Muszę wyznaczyć dyskretną odpowiedź obiektu inercyjnego I-rzędu na wymuszenie skokowe i impuls. To co udało mi się stworzyć w oparciu o źródła internetowe i pomoc samego Scilaba widać poniżej....
Witam, Musze zrobić w Scilab'ie na laboratorium z Komuinikacji Cyfrowej projekt modulacji QPSK i PSK. Szukam i potrzebuje pomocy z tego zagadnienia. Jakiś opis lub coś w postaci plików z Scilaba lub Matlaba by mi to jaśniej przybliżyło. Chyba, że to będzie jakiś jaśniejszy opis wyjaśniający bardziej tego typu modulacje, bo co to znalazłem w Wikipedi...
Stronę download tego programu masz i również jest tam do zassania Instrukcja Obsługi np. w PDF , i w niej - na którejś z 2284 stron tego manuala - znajdziesz interesujące Ciebie dane.
Witem. Moje pytanie jest raczej teoretycznie. Brzmi ono następująco. Czy w samym środowisku SciLab (nie mając zainstalowanego LabView) można skonstruować system pomiarowy składający się powiedzmy z miernika z IEEE488 albo innych przyrządów komunikujących się w standardzie RS232C?
polskie znaki których Matlab i SciLab nie lubią. W identyfikatorach w kodzie i nazwach plików ze skryptami. Nie dlatego nie działa. Guti14 , dlaczego doklejasz do (wyglądającej na poprawną) ścieżki inną (do Image and Video Processing toolbox)? Wywal to getSIVPpath() i będzie dobrze. [syntax=scilab]img = imread('C:\Users\Rafał\Desktop\kot.png')... imshow(img);[/syntax]
Muszę napisać program, który będzie wyrzucać na ekran mechanizm wprawiony w ruch. Mechanizm dosyć prosty, ponieważ składa się z trzech prętów, połączonych dwoma przegubami. http://obrazki.elektroda.pl/7534709400_1... Napisałam program na Matlab, niestety do tego programu dostępu nie mam. Starałam się go przeinaczyć na Scilab, ale tutaj...
w załączniku zgzipowane: jg.wav - głos oryginalny, jg-ssb.wav - ten sam głos z widmem przesuniętym do góry o 250Hz. oczywiście, na podstawie jg-ssb można wyliczyć za pomocą wcześniej pokazywanego skryptu sygnał oryginalny. (na marginesie, jg.wav był nagrywany mikrofonem z 'trzeszczącym- naderwanym' kablem. stąd zakłócenia.) na wszelki wypadek wskazanie...
No szkoda ze nie moze mi ktos pomoc. A moze jednak jeszcze znajdzie sie ktos komu nie obce sa te filtry i programowanie w scilabie(to chyba bedzie podobnie jak w matlabie) W kazdym badz razie dziekuje za kazda ewentualna pomoc. Pzdr Marcin
2.data1 i data2 sa typu char (wiec wartosci 0..255) a ty je przycinasz potem do -128..127 (jak dla signed char)... tu chyba akurat rybka jest, ale napewno smiesznie to wyglada Każdy typ całkowitoliczbowy w C jest domyślnie signed, więc char to to samo co signed char - no chyba że w opcjach projektu ktoś sobie zmienił :) 4.Co to ma byc: fwrite(&Hdr,sizeof(char),HDRSIZE,f3);...
Matlab, scilab, LTspice, w każdym z tych programów. Przecież w excelu też można;)
Witam, nazywam się Krzysiek i pracuję jako inżynier oprogramowania w firmie Embedded-Solutions. Zajmuję się rozwijaniem oprogramowania dla platformy MicroDAQ, gdzie stworzyliśmy darmowe inżynierskie oprogramowanie do aplikacji kontrolno-pomiarowych. Chciałem się z wami podzielić doświadczeniami z użytkowania alternatywy MATLABa jaką jest Scilab. Samo...
Witam Do takich obliczeń można wykorzystać programy matematyczne: Matlab lub darmowy Scilab. W helpach wszystko znajdziesz co i jak? Są gotowe funkcje.
Ja używam programu Scilab. Spokojnie w nim wyznaczysz odpowiedź skokową układu. Trochę się różni od matlaba ale ma niezłego helpa i jest darmowy.
Nie ma wersji dla studentów MATLABA. Podobny jest darmowy scilab, lub Octave, ale korzystanie z nich wymaga cierpliwości. Nie stety ale Ci który chcą się tym pobawić w domu są skazani na wersje z chomikuj, torrentów etc.
Takie rzeczy to tylko... w [url=http://www.statica.pl/at.html]Stati... AT, ale to płatne. :cry: Są też inne programy do prezentacji graficznej: 1. [url=http://www.microsoft.com/download/e... Mathematics Add-In for Word and OneNote 2. [url=http://pl.wikipedia.org/wiki/Scilab... 3. [url=http://pl.wikipedia.org/wiki/GNU_Oc...
panie kolego,ustawienie zaworów,wymiana swiec i kabli i czujnik wody. Zamykam.
Polecam MATLABa (prawdziwy inżynierski kombajn) lub freeware'owe odpowiedniki: SciLab lub Octave. Generowanie wykresów z zadanych danych to tylko nieznaczny ułamek ich możliwości. Oczywiście MS Excel lub jego darmowy odpowiednik z OpenOffice'a (którego nazwy niestety nie pamiętam) też całkiem dobrze spełniają funkcję generatora wykresów, a są o wiele...
Drodzy koledzy, koleżanki, poszukuję modelu w XCOS, SCILAB prądnicy samowzbudnej bocznikowej. Też poszukuję równań do takiej prądnicy. Wiem, że nie jest to popularna maszyna stąd wszelka pomoc w tym zakresie będzie tutaj na wagę złota. serdecznie pozdrawiam Krzysztof
Nie ma żadnego. To sztuka dla sztuki Oprogramowanie takiego Ti-89 jest nie do napisania w warunkach niekomercyjnych ze względu na swoją złożoność Co za bzdury kolega plecie! Pakiety typu Octave czy SciLab kolega zna albo chociaż kojarzy? Wiem, że może nie chodzą na M68k jak Ti=89, ale pokazuje to, że tak skomplikowane oprogramowanie może powstać w...
Z poziomu menadżera pakietów Synaptic, sudo wget http://www.medibuntu.org/sources.lis... -O /etc/apt/sources.list.d/medibuntu.list później: sudo apt-get update && sudo apt-get install medibuntu-keyring && sudo apt-get update Aby zainstalować kodeki, wpisz: sudo apt-get install w32codecs Do odtwarzania MP3-jek używam Audacious - pakiet...
Pisząc narzędzie miałem raczej na myśli metodę / algorytm niż pakiet programów. Statystyka nie jest moją mocną stroną i mam problem z wyborem właściwej do tego zadania metody, program jest sprawą drugorzędną - mając algorytm mogę sam napisać program, mogę oczywiście korzystać gotowe pakiety jak MATLab, SciLab, Octave, R, SAS czy jeszcze inny.
Witam, nurtuje mnie pytanie dotyczące scilaba. Właśnie rozpocząłem pracę z nim. Zacząłem od drobnej konfiguracji gdyż za dużo opcji nie widzę w nim. I moje pytanie brzmi czy można w jakiś sposób usunąć zmienne utworzone na starcie przez scilaba? Bądź też jeśli jest to nie możliwe to czy można jakoś ustawić by w podglądzie zmiennych pokazywało tylko...
Nawet w matlabie nie ma skryptu do rozpoznawania znaków drogowych bądź banknotów. Za to są zaimplementowane sieci Hopfielda i oparta o metodę treningu "Back Propagation". Da się to zrobić również z użyciem sieci innego typu. Aby sprostać temu zasaniu trzeba przeczytać i zrozumieć 20-30 stron pierwszej lepszej książki traktującej o sieciach neuronowych....
Mam jeszcze pytanie: czy jest może jakiś dobry program, za pomocą którego taką sieć mógłbym symulować (oraz inne zupełnie algorytmy)- jakieś uniwersalne narzędzie (najlepiej po polsku)? Matlab, Scilab, Octave raczej odpadają - trzeba mocno wgłębiać się w sposób obsługi programu, i jego polecenia / strukturę języka programowania danego programu itp....
pomysł z rozwidleniem wyjścia przetwornika do taiwańskiej inteligencji i do własnego kontrolera (szpiona?) wydaje się być atrakcyjnym. 'szpion' brałby surowe dane i pchał dalej (np. po podczerwieni) do PC. tam dane można obrobić komfortowymi narzędziami typu scilab czy też matlab. 'przesolona zupa': ważona waży się przeważnie bez noktowizora. podczerwieni...
Co to znaczy że Python jest przereklamowany, szczególnie ciekawe stwierdzenie w przypadku ML? We wszystkich linkach które dałeś jest wykorzystywany, a w TF jest dominującym językiem. Nie znam innego języka który w ML byłby nawet w 5% tak często wykorzystywany jak Python. Faktem jestem, ze Python do innych zastosowań, np. mikrokontrolerów nie jest już...
Dobra. Dobra. A tak na przyszłość może jakaś pomoc odnośnie serwisów lub aplikacji, co by z tym śmiało sobie rodziło ( w alternatywie do Mlaba), to już całkiem bym zamknął i podziękował. No właśnie. Na IIgo rzedu, jak mówiłem mam algebre, a czy ją rozszerzyć na III-ci rząd i wyznaczyć bez błedu tego nie byłem pewien. Trzeba będzie się zaopatrzyć na...
Mam pewien pomysł, ale nie bardzo wiem jak się zabrać do jego realizacji. Otóż dostaję dane na port com w komputerze i chciałbym użyć ich w obliczeniach wykonanych w scilabie lub matlabie... nie bardzo mogę znaleźć jakieś sensowne info na ten temat... gdyby miał ktoś jakieś pomysły to było by super...
Wprawdzie nie znam języka Python, ale widać wyraźnie, że ten skrypt raczej tylko narysuje wykres. W celu wyznaczenia współczynników a i b musiałbyś rozwiązać nieliniowy układ równań. Dla takich układów nadal liczba równań musi być równa liczbie niewiadomych. Podstaw zatem skrajne wartości X i Y do wzoru po czym wyznacz współczynniki metodą Newtona....
Witam. Rozwiązałem sobie układ równań nieliniowych metodą Newtona-Raphsona w Matlabie. Oto równanie: http://obrazki.elektroda.pl/5302308800_1... A oto rozwiązanie: plik fun.m: [syntax=c] function z=fun(x) z=zeros(size(x)); z(1) = x(1)+2*x(2)-2; z(2) = 2*x(1)^2+4*x(2)^2-4; [/syntax] plik JAC.m: [syntax=c] function j = JAC(x) j=[1 2; 4*x(1)...
Mam pytanie w rodzaju: co jest lepsze? Chodzi o DELLa D400. Są kompy z procesorem 1,4 są również 1,7GHz. Co wybrać? Czy faktycznie będzie zauważalna różnica pomiędzy modelami? Komp na służyć do obliczeń w C lub w scilabie. zakaładam, że pamięć w obu identyczna np. 0,5G. Lub inaczej co lepsze? D400 czy HP nc4000 z 1,5 GHz Z góry dzięki wielkie za odpowiedź
Witam! Porwałem się trochę z motyką na słońce, ale mam nadzieję, że mnie nie zjecie od razu :) Piszę pracę inżynierską pt. "Obsługa kart pomiarowych w środowisku SciLab" i zamiast karty dedykowanej przez uczelnię (PCL-818) chcę wykorzystać kartę dźwiękową z odpowiednim tłumikiem (nie wiem, czy to dobra nazwa). Schemat tłumika prezentowałby się tak:...
"Exel jest szybszy"... polemizowałbym... Testowałem na 50MB danych. Excel to czytał 30 minut, Python około 5 minut. Dodając 5 minut na napisanie kodu i tak wychodzi lepiej. Ponadto znowu porównujemy coś darmowego do płatnego. A Libre Calc nie jest w stanie wczytać kilku milionów lini z wartościami, więc Excel tu nie ma darmowej alternatywy. Tylko,...
Zgłoszenie numer 12 do konkursu FPGA Witam, potencjalne zastosowanie płytki Maximator, według mojego pomysłu, to system cyfrowego przetwarzania sygnałów, ze zrównolegleniem obliczeń, który dodatkowo możliwie "najdokładniej" odwzoruje założoną charakterystykę amplitudową w przypadku realizacji ze skończoną precyzją obliczeń. Jak wiadomo, standardowa...
Kup gotowe większe i odwiń częściowo. Tylko te do zwrotnic a nie dławiki. Metod pomiaru jest wiele. Gdzieś na Elektrodzie jest np. prosty projekt przystawki do woltomierza cyfrowego na bramkach Shmidta; prosty, jakoś działa (sam też robiłem). Wymaga wyskalowania. Do zwrotnicy i tak proponowałbym pomiary podparte kartą dźwiękową, z całych domowych zasobów...
2) jak miksować cyfrowo dźwięki z dwóch źródeł i odtwarzać jednocześnie przy pomocy jednego 8-bit DAC? Czy może rozdzielczość 8-bit DAC jest za mała do miksowania dwóch 8-bit dźwięków? Najprostszym rozwiązaniem jest dodanie do siebie próbek dzwięków i przeskalowanie wyniku tak, żeby nadal mieścił się na 8 bitach. Np. tak DAC=(próbka_dźwięku1 + próbka_dźwięku2)/2....
Witam serdecznie, mam za zadanie stworzyć macierz dwuwymiarową 25x25, w której pierwsza kolumna ma być zapisana samymi jedynkami, druga kolumna dwójkami, itd. Jedynym rozsądnym rozwiązaniem na moje możliwości jest zapisanie tego w Scilabie za pomocą takiego wyrażenia (przykład macierzy 10x10, zasada ta sama): [syntax=scilab]--> A=[1:10;1:10;1:10;1:10;1:10;1:10;1:10;1:...
Mam problem z programowalnym UART-em na Attiny13 Port TX otwieram za pomocą komendy Open "comb.1:38400,8,n,1" For Output As #1 zamknięcie tego portu wykonuje się komendą Close #1 . Port TX otwierany jest przed wysłaniem danych i zamykany po ich wysłaniu Case 1 : wtedy uruchamia się programowalny port TX ikonka w Proteus jest czerwona co wskazuje na...
Skorzystałem także z energii sygnału. Okienkuje sygnał i do uczenia NN wykorzystuje konkretne sekundy z poszczególnych sygnałów. Do NN przesyłam energię sygnału oraz średnią i kurtozę z widma z konkretnej sekundy. Szukam czegoś jeszcze. Pozostaje jednak problem z samym NN. Nigdy wcześniej nie używałem i nei wiem jakie ilości takich reprezentantów są...
Witam. Studiuję elektrotechnikę, w związku z moją nieobecnością na jednym z laboratoriów z przedmiotu "Pomiary i automatyka w el-en" prowadzący wyznaczył nam do wykonania projekt, a mianowicie (pozwolę sobie zacytować treść): Opracować model układu ilustrującego w sposób interaktywny badanie zabezpieczenia nadprądowego o charakterystyce prądowo-czasowej...
Od razu mówię, że nie jestem asemblerowcem :-) Oto dane potrzebne do obliczeń: Rezonator ma częstotliwość 8MHz Prescaler ustawiony na 1024 Po przepełnieniu, do timera ładowana jest wartość 256-125 (ale za pierwszym razem liczy od 0) W rejestrze r21 zrealizowany jest licznik, który liczy od 125 w dół (pierwsze zliczenie jest od 0 w dół - wykonanych będzie...
Spojrzałem już na ten zestaw nr 9 i myślę, że na nim się będę wzorował, w zupełności raczej powinien mi wystarczyć, już nawet też ten dysk 1 TB też powinien styknąć, ale zobaczę jeszcze ten 2 TB, który mi poleciłeś. Co do programów: Matlab, Scilab, Mathematica (to są matematyczne programy, często ich obliczenia zajmują kilka dni, zależy, co się programuje),...
Myślałem nad tym, żeby zrobić reinstalkę JAVY, ewentualnie jeśli problem nie zniknie to MATLAB'a, ale z racji tego, że musiałem mieć program, który wykona moje skrypty skorzystałem ze SCILAB'a, który już nie wyrzuca błędów :) Jak wstanę i znajdę chwilę to postaram się opisać czy udało się osiągnąć zamierzony efekt i przywrócić do życia MATLAB'a :)
Gratuluję realizacji ciekawego projektu. Pozwolę sobie zapytać, czy wyrzeźbiłeś od podstaw własny BIOS dla CP/M w asemblerze Z80? Zrobiłeś tzw. deblocking dla karty SD? Robiłeś jakieś podejście do CP/M 3.0? Też pracuję od trzech lat nad czymś takim, jednak jest to projekt na 8080. Jest to też układ zdecydowanie bardziej retro. Mam nawet obsługę FDD...
Pisać możesz niemal w dowolnym języku (Java, C, C++, C#, a nawet asembler :P, na upartego mógł byś nawet napisać skrypt pod Scilab'a lub Matlab'a). Najwięcej roboty będzie z obsługą elementów "graficznych" (rysowanie krzywej ruchu), reszta to po prostu implementacja wzorów. Na Twoim miejscu pisał bym w C++ lub C#, środowisko najlepiej Visual Studio,...
Witam, założenia co do sprzętu: Do czego: głównie praca, może czasem starsze gry (nie jest to priorytet) Kwota: 5000zł (jeśli będzie naprawdę warto mogę dołożyć jeszcze kilka stówek) Procesor: tylko INTEL, wysokie taktowanie, 2-4 rdzenie, myślę o 4-6MB cache Grafiak: Intela lub GeForce RAM: min. 8GB, miło by było 2133MHz Matryca: raczej matowa, bez...
Stuxnet powstał w celu zaatakowania gospodarki jednego kraju przez drugi - za pośrednictwem windowsa - ale przecież dla Pana to nie jest problem ... Naprawdę nie zrozumiałeś sensu mojej wypowiedzi czy tylko udajesz? Napiszę więc inaczej: z jakiego to powodu tak ważne i tak odpowiedzialne instalacje jak systemy sterowania wirówek wzbogacania uranu nie...
# Utwórz poziomy wektor W0 o sześciu elementach. Wartość początkowa powinna być równa 0, a końcowa 2.5. # Utwórz nowe wektory W1 W2 W3 W4, poprzez wykonanie operacji: dodanie do wektora W0 wartości 1, odjęcia od W0 2, pomnożenia W0 przez 3 oraz podzielenia W0 przez 4. # Utwórz macierz A (5x6) poprzez połączenie utworzonych wektorów. # Utwórz wektor...
Zainstaluj: https://support.microsoft.com/en-us/kb/2... Odinstaluj: SafeFinder (w razie problemow po wykonaniu fixlist) Nie pobieraj programow z dobrychprogramow za pomoc menadzera pobierania, ktory instaluje szkodliwe aplikacje. Obok frst.exe utworz plik fixlist.txt z zawartoscia: Task: {C6956B4F-0333-48DF-93FB-2ABE509C6DF8} - System32\Tasks\ArekEmigrationRayedV2...
Kolega prosił o zastosowanie *.mif, to w dodatku rozpędziłem się o pakiet Xilinx'a (; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --taki przykład entity ROM is port ( address : in std_logic_vector (3 downto 0); data_out : out std_logic_vector (4 downto 0)); end ROM; architecture BEHAVIOR of ROM...
LUDZIE jestem programistą nie MATEMATYKIEM! ;-D Poza nielicznymi przypadkami programowanie sprowadza się do ubrania matematyki w interfejs użytkownika. A to co napisał qrdel to MAGIA. Eeee tam. Pierwsze trzy punkty masz załatwione w moim poście, jest równanie ogólne i równanie sumy kwadratów odległości punktów od prostej (w równaniu odległości punktu...
Mam kilka pytań: 1.Mam zademonstrować jak można przechowywać zmienne w postaci fizycznej. Czy ktoś by wiedział może co to jest ta zmienna w postaci fizycznej? I czy jest to po prostu np. [ a = 5; ]? 2. Mam znaleźć polecenie przy pomocy ktorego wyswietlane zostana nazwy zmiennych bedacych w pamięci. Czy to znaczy nazwy zmiennych wprowadzonych przez użytkownika?...
Witam ,miałem za zadanie zamodelowac obieg Rankina i narysować wykres p-V wraz z krzywą nasycenia, niby wykres i krzywa się rysują ale wychodzą ujemne wartości. Poniżej mój kod, jeśli ktoś znajdzie błąd lub ma pomysł jak to naprawić będe bardzo wdzięczny. [syntax=scilab]clear; clc; clf(); exec("XSteam.sci"); mprintf('*Symulacja obiegu Rankina*\n\n');//mprintf...
Jeśli masz do niego dostęp - możesz sam wyznaczyć odpowiednie charakterystyki - nawet przy pomocy zwykłego arduino tym bardziej, że jest tam enkoder. Jeśli nie - osobiście nie spotkałem się z takimi charakterystykami dla modelarskich silniczków.
fpar : dwuelementowy wektor parametrów okna. Okno Kaisera fpar(1)>0 fpar(2)=0 . Okno Czebyszewa fpar(1)>0, fpar(2)<0 lub fpar(1)<0, 0<fpar(2)<.5 Co jeżeli jest okno hanninga? Jakie wtedy podajemy dane w wektorze dwu elementowym fpar?
Jeśli już zamiennik Matlaba, to raczej Scilab moim zdaniem. Kilka rozwiązań znalezionych w temacie: http://www.mathworks.com/matlabcentral/n... ====== Solution #1 ==================== (Make sure you have enabled viewing of hidden files and folders first) 1. Browse to the folder C:\Users\<your username>\AppData\Roaming\...
Jakiego używasz distro? W debianie są odpowiednie paczki. Wiem że w innych dystrybucjach też powinny być. Z debianem jest ten problem (sam używam), że paczki są już dość stare i nie obsługują nowszych procesorów. Ja sobie poradziłem w ten sposób, że ściągnąłem winavr w wersji portable (do rozpakowania): http://www.chip45.com/index.pl?page=Port...
Nie wiem jak w Matlabie, ale w SciLabie jest tak, że .wav wczytany zostaje jako wektor liczb zmiennoprzecinkowych z zakresu od -1 do +1. W związku z powyższym w celu osiągnięcia żądanej ilości poziomów kwantyzacji można zrobić tak: x=wavread('jakis_plik.wav'); x = round(x*2^(ilość_bitow - 1)) / 2^(ilość_bitow - 1); Po...
Witam, ma za zadanie wyznaczyć wartość dobowej mocy szczytowej oraz dobowej energii dla przebiegu obciążenia dobowego. Wykorzystać mam do tego celu oprogramowanie Scilab. Jeśli chodzi o wartość dobowej mocy szczytowej, to wydaje mi się, że jest to maksymalna wartość mocy jaka występuje w ciągu analizowanej doby. Żeby ją znaleźć korzystam z polecenia...
A dlaczego ten filtr ma być w C (jak dla mnie jest to dość "niewdzięczny" język do realizacji filtrów FIR/IIR). No ale jeśli już trzeba to zapisz sobie sygnał bazowy (ten który ma byś filtrowany) jako po prostu plik *.wave (z tego co pamiętam w Matlabie/Scilabei nie ma z tym problemów). Dalej otwieraj ten plik w programie napisanym w C, który to go...
Dzień dobry wszystkim, nie za bardzo wiedziałem gdzie taki temat wrzucić. Pytanie jak w tytule - zbliża się już 5 semestr na kierunku automatyka i elektronika, potem szósty, który jest związany z praktykami 6-miesięcznymi i wreszcie siódmy - seminaria i praca inżynierska. Szukałem różnych tematów na inżynierkę - trafiałem na takie cuda jak model matematyczny...
dzięki. działa. częstotliwość próbkowania można 'falandyzować' np. scilabem... temat można uznnać za zamknięty. j
Coś tam można zasymulowac w matlabie lub scilabie. Będę szukał dalej, pozdrawiam i dziękuję
mam rozumieć, że masz za zadanie wykreślenie w pythonie odpowiedzi układu na skok jednostkowy na podstawie obliczeń iteracyjnych. Obliczeniowo Ci nie pomogę (bynajmniej na podstawie tych skanów) - w scilabie odpowiedź wygląda jak na rysunku wg mnie https://obrazki.elektroda.pl/1289458000_... https://obrazki.elektroda.pl/8293915000_...
ja muszę narysować wykres hiperboli w scilabie, ale właśnie mi nie wychodzi. Wiem że matlab ma podobną składnię i wystarczyć może że wpadnę na odpowiedni algorytm. Dziękuję za szybką odpowiedź. Jednak będę musiał się nad tym zastanowić na spokojniej jak to w scilabie rozpisać. Teraz po okrojeniu x=-5:5; a=1; b=2; c=2; d=1; f=(a*x+b)./(c*x+d);...
nie w pascalu, ale w scilabie jakis czas temu pisalem to razem z dziewczyna dla niej na zaliczenie, jesli jeszcze bedzie to miala to wrzuce
Przejrzyj dobrze kod. Ostatnią funkcję masz "zaremowaną". [syntax=vb]'Call GetOnlyGoodValues(x1, x2, x3, x4, x5, x6, x7, x8, x9)[/syntax] Wewnątrz GetOnlyGoodValues wykonywane jest przeszukiwanie. Działa to dobrze bo sprawdzałem, ale generowanie czegokolwiek trwa baaaardzo długo. Zapis do komórek Excela mija się z celem o czym już pisałem. Właśnie dodałem...
Witam. Mam do napisania procedurę, która będzie realizowała rozwinięcie szeregu Taylora funkcji ln(x). Miałem wykorzystać rozwinięcie z f(x). Mam coś takiego (załączniki) wykres wychodzi podobnie jak ma być ale mija się to troche z celem. Jestem początkującym i proszę o pomoc. Pokazuje też tu 2 wykresy, które mi wychodzą po zmianie x0 = 1 (zal2), 2(zal3).
A jeżeli twój wykładowca twierdzi , że graficzne generatory tesbenchów to zabawki , to śmiem twierdzić , iż jego wiedza praktyczna w układach FPGA kończy się na Evaluation-Kit'ach. Na podstawie tego: No i nagle wynikła potrzeba zrobienia czegoś bardziej pogmatwanego - Embeded System. To śmiem twierdzić, że czasy baroku już minęły. Ten zarzut jest chybiony...
wyobraziłem sobie, że to 128 próbek jakiegoś sygnału. częstotliwość próbk = 128. nie mam matlaba (drogi) - używam scilaba (free) poniżej kod: x=[wektor z 128-oma amplitudami Twoich próbek wkopioway tutaj - ctl-C - ctl-V] ; y=fft(x); fpr=128; //częstotl próbkowania N=length(y); //ilość sztuk flaków zespolonego wektora - transformaty clf; //czyszcze okno...
Dalej po instalacji avasta mam ten sam problem - oto logi z "dss": Deckard's System Scanner v20070611.50 Run by windows on 2007-06-15 at 13:44:51 Computer is in Normal Mode. ----------------------------------------... -- System Restore ----------------------------------------... Successfully created...
Jednak po powyższych propozycjach i opisie problemu , zdecyduje się chyba na wariant przetwornik uK<--MUX<--ADC (12 bit) <--3xCzujnik , szczególnie że być może będę chciał rozbudować układ o "zabezpieczenie" zwarciowe lub od nie symetrii napięć . Propozycja wykorzystania ATXmega jest ciekawa , tylko czy uda mi się przejść problem implementacji...
Witam. Odczytuję programem Scilab dane ze sterownika PLC po protokole Modbus. Liczby zmiennoprzecinkowe są więc przedstawione w postaci dwóch rejestrów (dwóch zmiennych int: pierwsza na starszych bitach, druga na młodszych). Potrzebuje przekonwertować takie dwie zmienne int do jednej zmiennej float. Generalnie rzecz biorąc, z tego co wyczytałem w internecie,...
Witam. Mam problem z prostym układem RLC. Mamy to zadanie rozwiązać metodami numerycznymi (Rungego-Kutty I i IV rzędu). Zadania rozwiązujemy w SciLabie. o to skan: http://knip.pol.lublin.pl/~harry/niewyrz... a chodzi mi dokładnie o te Wskazówkę która jest tam zamieszczona. Nie potrafię zapisać równania opisującego obwód RLC jako dwa równania...
Na "jabłka" zawsze byłem uczulony dlatego jest to dla mnie jedynie wiadomość. Zważywszy na produkcję tego dostawcy to całkiem pokaźna część rynku i wyznaczanie kolejnych trendów dla innych. Miałem taką fazę radarową, zupełnie hobbystycznie. Na STM32 całkiem nieźle wyszedł mi radiowysokościomierz. Można linie mikropaskowe liczyć na papierze, można zrobić...
Od siebie dodam, że dziwi mnie nieco taki zapis. Po co te działania w nawiasie? To samo uzyskasz pisząc rand() % 11+10; Jak już powiedział przedmówca: - samo rand() daje ci jakąś dużą liczbę (sprawdź sobie sam) - zapis % 11 ogranicza ci ją do reszty z dzielenia. W tym przypadku reszta może wynosić 0, 1...10. (tu też możesz sprawdzić sobie sam pisząc...
olej fft, przeczytaj moj post 'ssb'. źródło + przykłady +wykresy. przepisać na matlaba ze scilaba powinnobyć łatwo. posłuchaj próbek głosu j
Odniosę się do matematyki(PWr, AiR, Elektryczny). Na wydziale zajmujemy się głównie napędami elektrycznymi i PLC(+dsp). I tu w układach sterowania przekształtników matmy jest pełno. Miałem projekt na laboratorium DTC-SVM. Podstawy tego to nic innego jak całki. Wiadomo że układ sterowania się siepie w simulinku i tam tylko bloczki 1/s i s ale co po tym...
Jeśli chodzi o program wspomagający obliczenia to oprócz matlaba mogę polecić scilaba. Jest on podobny do matlaba, na studiach wykorzystywaliśmy go właśnie na przedmiocie: "cyfrowe przetwarzanie sygnałów". W internecie na pewno znajdziesz dużo poradników jak i książek.
Jeżeli chodzi o toolboxy i odpowiednik matlaba to polecam scilaba - zdecydowanie jest to bardziej zbliżone do do rzeczonego niż octave.
Robiłem coś takiego w matlabie Simulinku i myślę że byłoby to najlepsze narzędzie. Poniżej model regulatora silnika DC z mostkiem H regulatorem PID układem pomiarowym prądu i prędkości. https://obrazki.elektroda.pl/4133877600_... Pewnie w bibliotece Simulinka znajda się też inne typy silników. Na upartego można to zasymulować w zwykłym...
a jak biore "zwykle" fft z matlaba/ scilaba, to na zerowej mam DC, a pozniej? Dodano po 11 i właśnie jest tak jak napisałeś prawda? najpierw DC później 511 probek dodatnich czestotliwosci, pozniej jedna probka (wlasnie co to za probka), a pozniej 511 ujemnych. Czyli generalnie mnie interesuje pierwsze 512 probek jesli chodzi mi od dodatnie
Napisałem taki kawałek skryptu: [syntax=python]import httplib, urllib params = urllib.urlencode({'(at)stock': 'CMR', '(at)day_from': 1, '(at)month_from': 1, '(at)year_from': 2011, '(at)day_to': 31, '(at)month_to': 1, '(at)year_to': 2011, '(at)period': 0, '(at)format': 'csv', '(at)periodarchive': 'Szukaj'}) headers = {"Content-type": "application/x-www-form-urlencoded",...
http://obrazki.elektroda.pl/7157500000_1... Witajcie proszę was serdecznie o pomoc!!! Mam do wykonania w scilabie sumę i iloczyn sygnałów, jeśli ktoś wie jak to zrobić bede bardzo wdzięczny . Chodzi mi generalni o kod w scilabie i potrzebuje to jak najszybciej to możliwe Dziękuje za wszelką pomoc temu kto to zrobi;)!!!!!!!!!!!!
Dzień dobry, Piszę z zapytaniem i o poradę czy w 2014 roku warto jeszcze kupować używanego laptopa z procesorem intel core 2 duo (oczywiście to byłby jeden z najwyższych w miarę możliwości) + 3-4 GB ramu + grafika w miarę najlepsza (w miarę możliwości) + dysk minimum 250 GB. Do czego będę potrzebował laptopa: - obliczenia prowadzone w matlabie, scilabie...
witam, pewnie to zadanie jest już przedawnione, ale pozwoliłem sobie zapuścić na nie 'scilaba' (=matlab free) kod: //--------------------------- clear; //czyszcze wszystkie zmienne //ilość punktów do przybliżenia generowania modułu transmitancji N=128; //próbkowanie fpr=480000; //zadany wektor współczynników filtra h=[0.0717 0.0451 -0.0956 -0.2705 0.5587...
instalacja deutz focus klimatyzacji bateria rower programować
lodówka bosch termostat samochody ciezarowe
Kod błędu 88 w pralkach Logik - przyczyny i rozwiązania Kod instalatora Proel KDC 1804-R - jak znaleźć?