signal modulator

Znaleziono około 171 wyników dla: signal modulator
  • Jak rozdzielić sygnał z modulatora DVB-T Signal-400 dla dwóch TV?

    O ile dobrze rozumiem, o czym piszesz, to zamiast specjalistycznych urządzeń, potrzebne są splitter i dwa odbiorniki DVB-T. Pierwszy odbiornik ustawiasz na częstotliwość dodatkowego sygnału z Twojego modulatora, a drugi odbiornik ustawiasz na wszystkie kanały z pominięciem tego dodatkowego. Czy o to własnie chodzi? Również, jak potrzebujesz obraz do...

    Instalacje antenowe DVB-T   24 Lut 2021 13:18 Odpowiedzi: 2    Wyświetleń: 513
  • REKLAMA
  • Unitra Vela T206 - Stary TV + modulator = brak fonii

    Sprawę rozwiąże przestrojenie fonii w TV lub zmiana modulatora na taki [url=http://www.hollex.pl/akcesoria/modu...

    Połączenia urządzeń   14 Mar 2013 17:56 Odpowiedzi: 8    Wyświetleń: 2025
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Signal-400 - Modulator DVB-T zakłóca telewizję naziemną.

    Witam! Posiadam modulator Signal-400 który będzie wykorzystany do wpuszczenia obrazu z rejestratora monitoringu do sygnału DVB-T odbieranego przez telewizory. https://obrazki.elektroda.pl/1826214800_... Do gniazda RF IN jest podłączona antena DVB-T, do RF OUT telewizor a do HDMI rejestrator. Antena jest sprawna, telewizor również -...

    DVB-T Technika i Serwis   06 Lis 2017 10:55 Odpowiedzi: 3    Wyświetleń: 2748
  • Multiswitch Opticum nie przepuszcza sygnału z rejestratora

    Czy do multiswitcha był wcześniej podłączony sygnał TV naziemnej? Jeżeli tak, to w jaki sposób został zsumowany z sygnałem modulatora? Jeżeli nie, to nie wiadomo, czy tor naziemny w tym multiswitchu jest sprawny. Można w tor naziemny podłączyć dowolną antenę TV i sprawdzić, czy w telewizorach jest to prawidłowo odbieralne. Poziom sygnału z modulatora...

    SAT Serwis   23 Lut 2012 14:19 Odpowiedzi: 2    Wyświetleń: 2754
  • Instalacja TV z modulatorem Signal Digital Classic R95176 – wejścia/wyjścia

    Witam Czy tutaj na tej stronie jest błąd? http://www.dipol.com.pl/odbior_platformy... i powinien być sumator zamiast rozgałęźnika? 2. Co jest wyjściem a co wejściem dla modulatora telewizyjnego? http://www.dipol.com.pl/modulator_telewi...

    SAT Początkujący   16 Wrz 2014 23:25 Odpowiedzi: 1    Wyświetleń: 864
  • REKLAMA
  • AVC 371 - Czy modulator Signal RF-3200 PAL D/K zadziała z kamerą CCTV?

    Wszystko powinno działać bez problemu.

    Początkujący Naprawy   02 Mar 2013 22:12 Odpowiedzi: 4    Wyświetleń: 7662
  • Obraz z modulatora Signal - rozdzielony na dwóch telewizorach, jak naprawić?

    Zakupiłem sobie tę o to [url=http://www.dipol.com.pl/modulator_t... i po ustawieniu kanału na jednym telewizorze jest OK, zaś na drugim rozdziela obraz na dwa, duży obraz i mały pasek na dole. Tak to [url=http://blazej2.w.interii.pl/0601200...

    Radiotechnika Serwis   08 Sty 2009 22:26 Odpowiedzi: 2    Wyświetleń: 814
  • Przesłanie z rejestratora sygnału HDMI+sterowanie myszką do TV

    Chyba czegoś [url=https://ivolta.pl/signal-400-hdmi-m... szukasz. "Modulator SIGNAL-400 jest urządzeniem wielofunkcyjnym, które wejściowy sygnał podany na złącze HDMI moduluje w standardzie DVB-T. Można na niego podać sygnał zarówno SD, jak i w rozdzielczości Full HD." Ogólnie szukaj w google cctv...

    Monitoring Wizyjny CCTV   07 Sie 2018 15:36 Odpowiedzi: 5    Wyświetleń: 1815
  • Philips pvr + modulator signal - jakość obrazu zależna od kanału na dekoderze

    Modulator.

    SAT Technika Satelitarna   26 Mar 2011 13:52 Odpowiedzi: 2    Wyświetleń: 1557
  • REKLAMA
  • Jak wzmocnić sygnał czeskich kanałów TV we Wrocławiu?

    Zasilacze są dwa, jeden jest wpięty w TV, a drugi do tej puszki Signal RF modulator. Niestety nie rozumiem z tej odpowiedzi co mam zrobić.

    Instalacje antenowe archiwum   17 Maj 2016 11:23 Odpowiedzi: 4    Wyświetleń: 858
  • Modulator Signal Digital Clasic - łączenie sygnałów RF i SCART z nagrywarki DVD

    Witam. Dokładnie tak jak piszesz. Na wyjściu będzie sygnał z kablówki i dodatkowo na wybranym przez Ciebie kanale sygnał z wejścia EURO. A czy nie lepiej (zdecydowanie taniej) zastosować dowolny modulator ze "starego" magnetowidu?

    TV Serwis   29 Lis 2006 07:35 Odpowiedzi: 4    Wyświetleń: 2531
  • Jak podłączyć Cyfrowy Polsat do dwóch telewizorów bez dodatkowej anteny?

    Co to jest ten modulator? Zobacz: Dodano po 6 http://www.allegro.pl/item427974191_rozg... Takie coś będzie dobre?? Chyba nie za bardzo. Na obrazku widać przełącznik, a z tego wynika że można połączyć tylko jedno z gniazd z wtykiem. Jednym słowem nie będziesz mógł oglądać jednocześnie na obu OTV

    SAT Serwis   27 Gru 2008 13:44 Odpowiedzi: 15    Wyświetleń: 70794
  • Jak dodać nowe kanały bez usuwania starych w TV Samsung UE55JU7500?

    Co to za modulatory? modulator signal hdmi to dvb-t

    RTV ustawienia eksploatacja   11 Maj 2018 18:55 Odpowiedzi: 28    Wyświetleń: 1164
  • Alan 28 - usunięcie fabrycznego ograniczenia modulatora

    I use oscilloscope and signal generator.

    CB Radio   12 Lip 2022 16:38 Odpowiedzi: 34    Wyświetleń: 3183
  • REKLAMA
  • Telewizja hotelowa - wyświetlanie materiałów reklamowych - macie pomysł?

    Witam .A co kolega powie na ten Modulator Signal-400 HDMI - COFDM .

    DVB-T Technika i Serwis   09 Paź 2017 12:11 Odpowiedzi: 4    Wyświetleń: 1056
  • Czy schemat instalacji z modulatorami TV będzie działał poprawnie?

    Po pierwsze = nie podłączaj anteny FM przez sumator. Do tego służy zwrotnica. Przykłady: FM+BIII+UHF: http://obrazki.elektroda.net/95_12876623...

    Instalacje antenowe archiwum   15 Sty 2011 22:40 Odpowiedzi: 30    Wyświetleń: 8610
  • Jak podłączyć kamerę Fortec DCX-DW-609P za multiswitchem EPM-Centauri?

    Problemem był modulator Signal, po zmianie na Terra zakłócenia ustąpiłły

    Monitoring Wizyjny CCTV   10 Lip 2012 22:49 Odpowiedzi: 7    Wyświetleń: 3723
  • Podłączenie Ariva 120 Combo ze starym telewizorem

    Signal RF Modulator sprawuje się bardzo dobrze i spełnia swoje zadanie. Można dzięki temu modulatorowi podłączyć do starego telewizora urządzenia z wyjściem SCART oraz 3 x cinch. Jakość obrazu oceniam na bardzo dobrą przy założeniu, że do modulatora nie jest podpięty przewód antenowy, bo wtedy są na ustawionym kanale szumy niezależnie od tego, który...

    Połączenia urządzeń   28 Gru 2011 15:29 Odpowiedzi: 8    Wyświetleń: 3121
  • Komputer--->modulator---> wzmacniacz antenowy

    Kable możesz zrobić sam. http://obrazki.elektroda.net/13_12374568... Ten z prawej strony jest do podłączenia karty dźwiękowej do modulatora monofonicznego. Rezystory: kupujesz 10 szt i omomierzem wybierasz dwa jednakowe. Jeśli kupisz miniaturowe, to uda Ci się je upchać we wtyku "jack". Nie podaję wtyków od strony modulatora, bo nie wiem co masz....

    SAT Serwis   19 Mar 2009 12:29 Odpowiedzi: 4    Wyświetleń: 2269
  • Telewizor OT Vela 202 - dźwięk nie pokrywa się z obrazem przez modulator.

    A taki się sprawdzi i nie będzie ryzyka, że coś jest nie tak z fonią? Prawidłowe oznaczenie polskiej fonii to D/K? http://olx.pl/oferta/modulator-video-ony... Lub taki? http://olx.pl/oferta/signal-rf-modulator... Potrzebuję modulatora użytku 2-3 razy w ciągu miesiąca, nie musi być najlepszy i najdroższy.

    Początkujący Elektronicy   19 Lut 2015 11:23 Odpowiedzi: 12    Wyświetleń: 1257
  • Monitoring AHD NOVUS - Czy można podłączyć kamery przez modulator DVBt?

    Nie wiem jak ze sterowaniem ogólnie jeśli modulator rozdzielał by sygnał każdej kamery na osobny kanał to pewnie by to przeszło ale to już muszą koledzy co w to się bawili i ustawiali potwierdzić. Dokładnie o coś takiego mi chodziło. Modulator SIGNAL-400, ewentualnie : - Modulator DVB-T/T2 Johansson 8202 HDMI MPEG-4 HD lub - MODULATOR DVB-T TM-250...

    Monitoring Wizyjny CCTV   19 Cze 2018 13:09 Odpowiedzi: 5    Wyświetleń: 1227
  • Modyfikacja instalacji zbiorczej, dodanie modulatora

    Signal Digital Classic kanały 21-69 fonia 5,5 MHz http://www.dipol.com.pl/modulator_telewi...

    Instalacje antenowe archiwum   15 Wrz 2015 10:38 Odpowiedzi: 7    Wyświetleń: 876
  • Jak przesłać sygnał video przez kabel antenowy do TV?

    Potrzebny jest tu stosowny modulator w.cz. dla DVBT/DVBC, albo telewizji analogowej: [url=https://allegro.pl/modulator-signal... [url=https://allegro.pl/modulator-anteno...

    Inne Co kupić?   10 Sie 2018 09:50 Odpowiedzi: 4    Wyświetleń: 441
  • Jak podłączyć rejestrator Signal do multiswitcha, by obraz był w gniazdkach TV?

    Musisz zastosować modulator.

    Zabezpieczenia Stacjonarne   09 Mar 2012 22:08 Odpowiedzi: 8    Wyświetleń: 1925
  • Jak podłączyć 2 TV do dekodera Cyfrowego Polsatu z 1x EURO i 1x HDMI?

    Ja używam taki -> [url=http://www.eltrox.pl/modulator-sign... Sprawuje się dobrze.

    RTV Użytkowy   12 Paź 2011 11:58 Odpowiedzi: 7    Wyświetleń: 4987
  • Bezprzewodowa transmisja sygnału do kilku odbiorników

    A co myślicie o takim rozwiązaniu ? https://www.youtube.com/watch?v=K3PMrPTs... Nasuwa się tutaj schemat typu: odtwarzacz HD -- modulator HDMI DVB-T (np. Modulator Signal-400 HDMI - COFDM (DVB-T) -- antena UHF DVB-T Następnie odbiornik TV musi być wyposażony również w antenę DVB-T W najbliższym czasie będę testował takie rozwiązanie. Czy ktoś już to...

    Instalacje antenowe archiwum   14 Sie 2018 17:31 Odpowiedzi: 25    Wyświetleń: 6867
  • Jak pociągnąć sygnał z rejestratora poprzez przewód antenowy do TV?

    przewód antenowy na którym puszczony jest już sygnał SAT Tam masz tylko SAT czy również DVB-T? Do tego drugiego masz dostępne modulatory. Np Signal-420, który z powodzeniem właśnie do CCTV używałem.

    Monitoring Wizyjny CCTV   10 Maj 2021 08:54 Odpowiedzi: 2    Wyświetleń: 477
  • Satlink WS-6990 - Aktualizacja firmware modulator DVB-T

    Możliwe że firmware w pierwszej wersji jest skopany i aktualizacja mogłaby pomóc? Do modulatora podłączony jest przez HDMI tuner Vu+Zero. Ten sam tuner podłączałem też testowo do innego modulatora DVB-T Signal - obraz idealny w DVB-T. https://obrazki.elektroda.pl/9877789900_...

    DVB-T Technika i Serwis   12 Paź 2022 20:38 Odpowiedzi: 4    Wyświetleń: 600
  • Czy możliwe jest podłączenie dwóch zwrotnic do sygnału TV i modulatora HDMI?

    Wielkie dzięki za informacje Myślałem o modulatorze CYFROWY MODULATOR DVB-T COFDM WS-8901U https://allegro.pl/oferta/cyfrowy-modula... chciałbym go w szafie rack, tam gdzie rejestrator i antenowym na strych do multiswitcha ( tak jak na rys.) Pytanie czy ten modulator jest dobry i jaka do tego byłaby najlepsza zwrotnica...

    Instalacje antenowe początkujący   30 Sty 2024 15:07 Odpowiedzi: 36    Wyświetleń: 834
  • Modulator KARBONC2 HD - "Ten kanał zawiera tylko sygnał audio" na w miare nowym Samsungu.

    Przy czym ten komunikat mam na rejestratorze IPOX. Zreszta nie tylko. Podobnie jest z dekoderem Ultrabox Canal Plus. Ciekawe jest to, że tylko na niektórych telewizorach (paroletni Samsung i starszy LG - tu komunikat "Niepoprawny format"). Na innych sprzętach pracuje O.K. Na Hikvision pracuje normalnie nawet na tych telewizorach na których nie ma obrazu...

    RTV ustawienia eksploatacja   16 Sty 2024 20:32 Odpowiedzi: 12    Wyświetleń: 321
  • Kamery ip i wprowadzenie sygnału video do instalacji antenowej

    juliusz99 rozwiązanie twojego problemu jest proste ale niekoniecznie tanie :) jedyne co musisz mieć to: 1) kamery IP Sunell lub inne wspierające ONVIF 2) Rejestrator Signal NVR-3216 z wyjściem HDMI 3) Modulator Signal-751 HDMI - COFDM (DVB-T) Dzięki takiemu zestawowi bez problemu wrzucisz obraz w rozdzielczości fullHD do instalcji TV. W rejestratorze...

    Zabezpieczenia Stacjonarne   17 Cze 2015 11:05 Odpowiedzi: 24    Wyświetleń: 13728
  • Jak podłączyć dekoder ECHOSTAR 7100hd do karty TV WINFAST2000?

    ale to za drogo wyjdzie Dodano po 35 http://www.eltrox.pl/modulator-signal-di...

    RTV Użytkowy   16 Kwi 2012 14:18 Odpowiedzi: 11    Wyświetleń: 1232
  • Jaki wybrać modulator do starego telewizora Biazet/Vela ?

    Witam Jaki z nich się nada , podaję nazwy aukcji na allegro Modulator antenowy TV Maximum RF 4000 UHF SAT (4875882226) lub MODULATOR telewizyjny Signal TV / SAT NOWOŚĆ (5509513195) . Są w dwu standardach BG i DK Z komputera poprzez kabel VGA-Euro ---> modulator -----> telewizor z wejściem antenowym Vela T 206 lub jakiś podobny

    Początkujący Elektronicy   11 Lis 2017 22:03 Odpowiedzi: 9    Wyświetleń: 1143
  • Jaki modulator z wejściem SCART do instalacji RTV-SAT z 4 kamerami?

    Do zastosowań domowych wystarczą tanie modulatory "Signal" lub droższe i lepsze "Terra" (sklep Dipol).Są jeszcze droższe urządzenia ale nie wiem czy warto inwestować większą kasę.Wzmacniacz wystarczy jeden (po zsumowaniu wszystkich sygnałów z modulatorów na sumatorze). U siebie w domu zastosowałem modulatory wylutowane z różnych urządzeń (tunery.magnetowidy)...

    SAT Szukam   24 Sty 2010 14:46 Odpowiedzi: 4    Wyświetleń: 2624
  • Jak skonfigurować modulator HDMI DVB-T z anteną UHF do transmisji HD?

    Zależnie od modulatora i anteny w TV może być kilka metrów. Przy zwykłym modulatorze może działać na kilka centymetrów. Zamiast komplikować poszukaj video sendera lub Wireless HDMI Ewentualnie jeśli potrzeba jeszcze większego zasięgu to szukaj urządzeń typu FPV. A co myślisz o takim rozwiązaniu ? https://www.youtube.com/watch?v=K3PMrPTs... Nasuwa się...

    Instalacje antenowe DVB-T   01 Maj 2019 09:42 Odpowiedzi: 4    Wyświetleń: 996
  • Modulator wpięty w telewizję kablową w domu - śnieży.

    Niezły śmietnik na tym obrazku. Połącz to wszystko tak: http://obrazki.elektroda.net/29_12216936... Rozgałęźniki zastosuj takie (sprawdzone w praktyce): stabilizowanym 9 lub 12V o wydajności prądowej co najmniej 100 mA. Zdalne zasilanie (POWER) na modulatorze musisz wyłączyć. Kanał w modulatorze musisz ustawić tak, żeby wokół niego był luz...

    Radiotechnika Początkujący   19 Wrz 2008 10:56 Odpowiedzi: 5    Wyświetleń: 4195
  • Projekt instalacji RTV-SAT z 6 modulatorami – dobór części i schemat połączeń

    Tak jak mówisz ale skoro kolega sie uparł że multiswitch ma aux to szukałem na wszelkie sposoby. Teraz pytanie ktoś wspomniał modulator RF3000 jak on sie spisuje w praktyce czy łącząc np. 3 takie modulatory łączyć ich wyjścia z wejściem następnego czy też bezpośrednio 3 wyjścia modulatorów do sumatora. Czy [url=http://www.dipol.com.pl/rozgaleznik...

    Instalacje antenowe archiwum   21 Lut 2011 16:21 Odpowiedzi: 20    Wyświetleń: 3411
  • Bezprzewodowy tuner DVB-T do laptopa - jak podłączyć i oglądać TV w różnych miejscach?

    Szukam podobnego rozwiązania i natrafiłem na coś takiego: https://www.youtube.com/watch?v=K3PMrPTs... Według tego materiału nasuwa się schemat: Laptop - kabel HDMI - modulator HDMI DVB-T 9np. (Modulator Signal-400 HDMI - COFDM (DVB-T) - antena UHF DVB-T - odbiornik TV wraz z anteną odbiorczą UHF DVB-T W najbliższym czasie będę takie rozwiązanie testował

    RTV Co kupić?   14 Sie 2018 15:03 Odpowiedzi: 2    Wyświetleń: 2592
  • Jak dobrać modulator HDMI do sygnału DVB-T i multiswitcha?

    (at)daro1003 jak miałbym wydać ok. 600zł na modulator to wybrałbym Terra MHD001P lub MHD-002P. Na wejście RF In podajesz sygnał z anteny naziemnej, wyjście RF Out łączysz z wejściem Terra TV w multiswitchu. Signal to brand produktów dla firmy Dipol - z ich jakością bywa różnie.

    Instalacje antenowe DVB-T   28 Gru 2023 09:36 Odpowiedzi: 6    Wyświetleń: 459
  • Jak poprawić jakość sygnału DVB-T przy użyciu 2 modulatorów i sumatora SSC-2?

    Jaki jest model tego modulatora...? Jeżeli są to modulatory z Dipola fm-y Signal to nic dziwnego, maja spore tłumienie przelotowe. Generalna zasada: unikaj jakiegokolwiek kaskadowego łączenia urządzeń. Mógłbyś naszkicować jakiś rysunek tych połączeń, taki dla myślących inaczej....

    Instalacje antenowe archiwum   04 Kwi 2015 21:50 Odpowiedzi: 7    Wyświetleń: 1626
  • "N" box czy wyjście euro i component pracują równo

    Witam. Klientowi zamontowałem modulator by puścić sygnał na drugi TV. Niestety przy przejściu sygnału AV przez modulator na telewizor przy którym jest dekoder pojawiają się zakłócenia, paseczki. Czy w momencie gdy jest uruchomione SD1 lub 2 działa też wyjście Component przez które chciałbym podłączyć telewizor. Tak aby też działało wyjście euro? Nie...

    SAT Serwis   08 Sty 2010 21:16 Odpowiedzi: 2    Wyświetleń: 1760
  • Podłączenie rejestratora z wyjściem VGA i HDMI do TV przez kabel koncentryczny

    Potrzebuje zrobić coś takiego Jeżeli ma wejść do multiswitcha to tylko i wyłącznie modulator. Przypadkowy link: https://www.dipol.com.pl/modulator_signa...

    Monitoring Wizyjny CCTV   21 Mar 2022 10:43 Odpowiedzi: 11    Wyświetleń: 1779
  • Jak poprawnie podać sygnały wejściowe do FIR Compiler Altera w modulatorze sigma-delta?

    1. DDR jest na wyjsciu kosci, takze wszystko - caly design ma chodzic albo na rising albo na falling edge nie utrudniaj sobie zycia. 2. Nie operuj na variable, uzyj signal 3. Jeden proces/always - jeden wektor/sygnal To jest System Verilog: reg [31:0] shiftreg = 0; - wiedziales o tym? O resecie juz pisalem. Jesli nie wiesz jak wygladaja wzajemne relacje...

    Programowalne układy logiczne   01 Wrz 2008 11:45 Odpowiedzi: 26    Wyświetleń: 3067
  • Jak połączyć rejestrator CCTV z TV z wejściem antenowym? Czy potrzebny wzmacniacz?

    Musisz zastosować w takim przypadku MODULATOR (+zasilacz DC z którego pobierze on prąd do pracy). Taki modulator można wyciągnąć np. z zepsutego magnetowidu... Możesz także kupić gotowy, np. [url=http://www.dipol.com.pl/modulator_t... Digital Clasic kanały 21-69 fonia 6,5 MHz.

    Inne Serwis   17 Wrz 2008 21:13 Odpowiedzi: 2    Wyświetleń: 1846
  • Przesłanie obrazu - dekoder - Przesłanie obrazu kablem koncentrycznym

    Konieczne będzie pewnie zastosowanie modulatora (inne sensowne rozwiązanie nie przychodzi mi do głowy). Zerknij sobie na samym dole tej strony na filmik obrazujący zastosowanie takiego modulatora. [url=https://www.dipol.com.pl/modulator_...

    Połączenia urządzeń   19 Lut 2020 15:01 Odpowiedzi: 5    Wyświetleń: 525
  • Nagrywanie sygnału cyfrowego TV UPC na prywatną nagrywarkę DVD - jak to zrobić?

    Proponuję zajrzeć na stronę Dipola. W cenie 70 zł to jest chyba Signal. Ale jesli ma to być lepszy modulator to proponuję Terra, a ten niestety jest dwuktotnie droższy.

    RTV Użytkowy   14 Lip 2016 00:26 Odpowiedzi: 298    Wyświetleń: 112953
  • Modulator,konwenter ze starego magnetowidu.Przesyłanie video.

    Witam. To po lewej to oczywiście modulator, a to po prawej głowica. Modulator "przenosi" sygnał antenowy z wejścia do wyjścia antenowego (taka jakby przelotka, która dodatkowo może przesłać na ustawionej częstotliwości sygnał AV) oraz dodatkowo na głowicę - to ten czarny kabelek. Głowica, jak i ten kabelek nie będą Ci potrzebne. Jeśli znasz rozpiskę...

    Początkujący Naprawy   09 Sty 2015 00:51 Odpowiedzi: 3    Wyświetleń: 3837
  • Panasonic NV-HD610 - Nie odtwarza przez gniazdo modulatora

    Dostałem taki magnetowid i mam problem (nie dostałem instrukcji obsługi). Odtwarza tylko przez gniazdo EURO. Ma modulator i nawet przełącznik z trzema pozycjami: Signal Test ON, OFF i RF OFF, ale niestety -mimo przestrojenia w telewizorze (nowy LED) - nie ma obrazu, czyli modulator nie wytwarza żadnego sygnału. W menu nie ma pozycji , która pozwala...

    DVD/VCR/Kamery Początkujący   27 Mar 2014 14:47 Odpowiedzi: 7    Wyświetleń: 2283
  • Jak podłączyć dekoder Polsatu i VCR do starego TV bez EURO?

    Jest mozliwe ale po zakupie modulatora np. taki [url=http://www.eltrox.pl/modulator-sign... Wtedy kablem Euro-euro podłączasz dekoder Polsatu do modulatora, modulator do magnetowidu, na magnetowidzie ustawiasz taki sam kanał jaki wybierzesz...

    SAT Serwis   08 Sty 2010 17:54 Odpowiedzi: 7    Wyświetleń: 1882
  • Instalacja SAT + naziemna: zwrotnica, modulator, złącza, multiswitch - pytania

    Witam, Planuję zrobić instalację SAT + Naziemna jak to zaprezentowano na schemacie [url=http://www.dipol.com.pl/schemat_dom... I mam parę pytań odnośnie tej instalacji: 1. Czy muszą być te urządzenia do naziemnej: Zwrotnica...

    Instalacje antenowe archiwum   10 Lip 2011 18:00 Odpowiedzi: 3    Wyświetleń: 1756
  • Panasonic NV-P2UEE – brak sygnału audio/video, test signal działa, szukam schematu

    Witam! Mam problem z tym odtwarzaczem -nie wychodzi sygnał :ani video ani audio.Na wyjściu z modulatora gdy włączę" test signal ",to pojawia się fonia z odtwarzanej kasety,i jest obraz tych dwóch pasów.natomiast po wyłączeniu tego testu jakieś zjawy w postaci litery "S" na całym ekranie i brak fonii.Jeśli ktoś zna ten temat,to proszę o pomoc bo nie...

    DVD/VCR/Kamery/BD Serwis   24 Gru 2005 00:35 Odpowiedzi: 4    Wyświetleń: 1238
  • Nadawanie na wyższych częstotliwościach a jakość obrazu

    Ma być wyższa jakość (pomijam aspekty prawne): to można tak (gotowy poradnik) https://telewizja-cyfrowa.com/2015/11/mo... https://dvbsklep.pl/pl/p/Modulator-DVB-T... A jeśli nie, jak nagrać i nadać obraz wyższy jakościowo od typowego CVBS do magnetowidu S-VHS i nagrać go w S-Video? Dzięki za pomoc :)...

    Początkujący Naprawy   12 Sie 2019 16:53 Odpowiedzi: 7    Wyświetleń: 429
  • Modulator DVBT Spacetronic - Brak sygnału z dekodera DualBox C+

    Witajcie, „Odziedziczyłem” modulator HDMI to DVB-T firmy Spacetronic - niestety bez oznaczeń modelowych. Chciałbym go wykorzystać i podłączyć dekoder DualBox C+ do którego rozdystrybuuję dodatkowy kanał satelitarny w jednym budynku (około 20-25 pokoi). Instalacja TV-SAT niedawno modernizowana, nie ma problemu z odbiorem. Po podłączeniu i ustawieniu...

    DVB-T Technika i Serwis   27 Maj 2024 15:29 Odpowiedzi: 1    Wyświetleń: 258
  • Sygnał z nagrywarki HDD po kablu antenowym na telewizorze (modulator, UPC)

    Witam Posiadam instalację TV cyfrowej+analogowej UPC + nagrywarkę stacjonarną LG HDD (nie UPC) + modulator do łączenia sygnału z nagrywarki z kablówką aby móc oglądać telewizję i nagrane audycje z nagrywarki na telewizorach w innych pomieszczeniach po kablu antenowym (TV 2 + TV 3 na schemacie). Na modulatorze ustawiam np 64, na telewizorze C64 (np na...

    RTV Użytkowy   11 Paź 2011 15:33 Odpowiedzi: 6    Wyświetleń: 4642
  • Jak podłączyć 2 kamery i videofon do TV na osobnych kanałach?

    Nie zajmowałem się tym ale apewne potrzebny Ci modulator TV wielowejściowy lub 3 jednowejściowe np. http://www.dipol.com.pl/modulator_telewi... i jakiś sumator lub zwrotnica

    RTV Użytkowy   25 Mar 2010 09:06 Odpowiedzi: 4    Wyświetleń: 1346
  • Jak rozdzielić sygnał z kamery DVS-650IR do rejestratora KONIG KG-D804D i TV?

    Witam. Potrzebuje szybkiej porady jak rozdzielić sygnał z Kamery (DVS-650IR) a rejestratorem (KONIG KG-D804D) tak aby podać sygnał z kamery do TV. aby przedstawić jaśniej mój problem niżej dodaje schemat http://obrazki.elektroda.pl/7012436100_1... Wyjaśnienia: na wyżej wymienionym schemacie jest teoretyczny sposób podpięcia tego wszystkiego,...

    Zabezpieczenia Stacjonarne   10 Kwi 2012 19:03 Odpowiedzi: 4    Wyświetleń: 2865
  • Podłączenie modulatora RF4000UHF do multiswitcha SPU 98-03

    Rozgałęźnik pasywny jest "odwracalny"; z równie dobrym skutkiem pracuje jako sumator. Te dwa podane typy mają niskie tłumienie przelotowe, stosunkowo duże tłumienie "poprzeczne" (między wyjściami) i dobre dopasowanie do 75 Ohm. Zobacz: http://www.dipol.com.pl/images/info/sche... - dokładnie to samo rozwiązanie (Signal R-2 jako sumator). Tłumik...

    RTV Użytkowy   06 Mar 2013 03:29 Odpowiedzi: 14    Wyświetleń: 4335
  • Multiswitch Signal 9/8 - nie działa (sygnał na wejściu 15dB a na wyjściu 8 dB)

    Wszystkie tunery będą działały na Unicable więc do wyboru masz czy pozostajesz przy starym systemie legacy instalacji po 1-2 kable do każdego tunera czy przechodzisz na Unicable gdzie możesz wszystko podłączyć pod jeden kabel. Masz pewnie jakieś modulatory które nadają w paśmie TV naziemnej a to już odrębna sprawa jak to wszystko będzie potem działało...

    SAT Początkujący   21 Gru 2021 11:34 Odpowiedzi: 3    Wyświetleń: 750
  • Jak podłączyć modulator DVBT do instalacji TV, aby wyświetlać obraz z kamer IP?

    witam W nowo zbudowanym domu zamierzam stworzyć min. instalacje monitoringu zewnętrznego, instalacje telewizyjną oraz połączyć jedną instalację z drugą. Chodzi mi o to, aby m.in móc podglądać obraz z kamer w każdym telewizorze w domu. Sygnał z anteny satelitarnej oraz anteny tv naziemnej zamierzam "puścić" 5 koncentrykami (4 z anteny satelitarnej i...

    Monitoring Wizyjny CCTV   17 Paź 2016 21:20 Odpowiedzi: 4    Wyświetleń: 1734
  • Jak podłączyć konsolę OVERMAX MultiActiv, skoro kolory kabelków nie pasują? :)

    Jak byś miała tą przejściówkę sprawna to ten dodatkowy adapter i chodziło by to na 100%, a jeśli innym sposobem to potrzeba modulatora np. taki http://www.dipol.com.pl/modulator_telewi... Do niego podłączasz konsole i przewodem antenowym do telewizora i na telewizorze trzeba ustawić kanał...

    Połączenia urządzeń   21 Kwi 2013 16:12 Odpowiedzi: 4    Wyświetleń: 3393
  • Dyskusja o odpowiedniości modulatora UHF TV Link TM70R do TV DVB-T1

    Dvbt1 nie działa już na terenie Polski. Teraz jest potrzebny nowy dekoder i nowy modulator który działa na dvbt2. np: https://www.euro.com.pl/tunery-dvb-t/fer... https://www.dipol.com.pl/modulator_signa...

    RTV Użytkowy   23 Paź 2023 19:37 Odpowiedzi: 7    Wyświetleń: 414
  • Nowe mikrokontrolery PIC z peryferiami niezależnymi od rdzenia

    Hmm, po mojej przygodzie z jednym PICem z rodziny 18F nie jestem jakimś szczególnym ich fanem ;), ale może coś się zmienia w dobrą stronę? Czy ktoś jest w stanie wyjaśnić zagadkowe pojęcia typu Możliwość zwektoryzowanej obsługi przerwań Czy oznacza to, że w końcu po wystąpieniu przerwania nie trzeba "if-ować" po wszystkich możliwych flagach, żeby dowiedzieć...

    Newsy   23 Mar 2017 08:45 Odpowiedzi: 6    Wyświetleń: 2985
  • Jak zainstalować 3 anteny ATX na jednym maszcie w Rybniku?

    (...) Nieco więcej informacji zapewne pomoże.... Idę porobić kilka zdjęć. Postaram się to później wrzucić do posta. Dziękuję za dotychczasowe zainteresowanie tematem. ------------------------------------- Wykorzystuję dokładnie ten Multiswitch (zdjęcie poniżej) http://obrazki.elektroda.net/94_12346368... Multiswitch Wavefrontier 9/8 typ. 155-UP...

    Instalacje antenowe archiwum   01 Maj 2011 15:32 Odpowiedzi: 25    Wyświetleń: 10167
  • Elektronika 409d - Elektronika 409d a cyfryzacja - Nadajnik UHF?

    Potrzebujesz dwa elementy: 1. Modulator, np taki: http://www.dipol.com.pl/modulator_telewi... 2. Dowolny dekoder DVB-T najlepiej z wyjściem SCART (EURO), bo taki najłatwiej podłączyć. Modulator podłączasz kablem do wejścia antenowego znajdującego się na tylnej ścianie. Ustawiasz jakiś niezakłócony...

    Instalacje antenowe archiwum   08 Lip 2014 19:04 Odpowiedzi: 7    Wyświetleń: 1839
  • Czy sumator z przeciętą zworą działa jako izolator galwaniczny?

    Kolega pomylił termistory ze zwykłymi rezystorami. Termistory zmieniają swoją rezystancję pod wpływem temperatury. Terminator to rezystor "zakończeniowy", a nie termistor :!::!::!: zetdeel - nie bardzo bo na tuner tv ma iść sygnał z anteny naziemnej + sygnał z modulatora Mam jeszcze jedno pytanie - czy jeśli wpinanie / wypinanie kabla antenowego z...

    Instalacje antenowe archiwum   08 Gru 2009 12:49 Odpowiedzi: 10    Wyświetleń: 7033
  • połączenie sygnału z modulatora z sygnałem TV naziemnej

    Moja propozycja: http://obrazki.elektroda.net/80_12609840... Sygnał z anteny jei modulatora jest sumowany przez rozgałęźnik bierny [url=http://www.dipol.com.pl/rozgaleznik... R-2 lub Telmor RM-2F. Rozgałęźnik jest tu elementem krytycznym. Antena musi być ze wzmacniaczem. Wzmacniacz jest potrzebny, aby...

    Instalacje antenowe archiwum   13 Sty 2010 23:05 Odpowiedzi: 5    Wyświetleń: 5770
  • LED Samsung UE40J5100 a podłączenie PIP przez euro?

    Obraz z PIP w telewizorach Samsung jest widoczny tylko na zwykłych kanałach telewizyjnych. Jeżeli kamera posiada wyjście video composite (zółty chinch), to można podłączyć ją poprzez modulator -> [url=http://www.dipol.com.pl/modulator_t... Podłącza się go wejścia antenowego i po...

    RTV Użytkowy   17 Wrz 2016 09:14 Odpowiedzi: 10    Wyświetleń: 1695
  • Jak podłączyć tuner cyfrowy bez Eurozłącza do TV z wejściem antenowym?

    Witam. Jest możliwość zakupienia takiej rzeczy nazywa się [url=http://www.dipol.com.pl/modulator_s... można też wykorzystać w tym celu wideo. Pozdrawiam.

    Początkujący Serwisanci   29 Paź 2007 21:48 Odpowiedzi: 24    Wyświetleń: 7736
  • Jak sprawdzić tv czy ma wbudowany mpeg4 przebywając w Anglii ?

    http://www.dipol.com.pl/modulator_signal... A tutaj troche tansze, ktore tez sluzy do sprawdzenia.

    Początkujący Elektronicy   24 Paź 2015 06:32 Odpowiedzi: 30    Wyświetleń: 2760
  • Podłączenie dekodera cyfrowej vectry do telewizora bez wejścia EURO ?

    Dla Twoich zastosowań dobry będzie [url=http://www.dipol.com.pl/modulator_t... modulator. Włączysz go między dekoder a wejście Euro telewizora. Dla tego sygnału (po niskiej) jest on przelotowy. Kanał zaprogramujesz jaki chcesz, ale taki, żeby nie kolidował z zajętym już przez sygnały...

    Połączenia urządzeń   22 Mar 2012 17:12 Odpowiedzi: 12    Wyświetleń: 14494
  • Wprowadzenie obrazu z kamer BCS HD-CVI do TV zbiorczej?

    OK, tylko jak i co do tego potrzebuję? Pewnie coś takiego http://www.dipol.com.pl/modulator_signal...

    Monitoring Wizyjny CCTV   17 Lip 2016 21:03 Odpowiedzi: 9    Wyświetleń: 1815
  • Sposób podłączenia dwóch telewizorów do MediaBox NC+ przez HDMI

    czy istnieją modulatory HDMI + koncentryk RF -> wtłaczające wszystko w koncentryk RF ? http://www.dipol.com.pl/modulator_signal...

    RTV Użytkowy   02 Paź 2014 16:20 Odpowiedzi: 5    Wyświetleń: 4332
  • Podłączenie kanału zwrotnego z "n" recordera

    Jak dobrze zrozumiałem to chcesz wpuścić sygnał z recordera N do multiswiych'a tak, aby we wszystkich telewizorach w domu można było oglądać kanał, który leci w recorderze N. Potrzebne są talie elementy. http://www.satserwis.pl/pokaz.php?nazwa=... http://www.satserwis.pl/pokaz.php?nazwa=... http://www.dipol.com.pl/modulator_telewi...

    Instalacje antenowe archiwum   02 Wrz 2010 07:03 Odpowiedzi: 3    Wyświetleń: 2576
  • Liteon s1770nsl - uszkodzony HOT C5387, cykanie po włączeniu monitora

    Witam ponownie !! Wymieniłem kondensatory w przetwornicy, w okolicy HOT-a, wymieniłem również C411 4,7nF/2 kV oraz upewniłem się czy modulator napięcia jest sprawny. Uruchomiłem monitor (bez podłączenia do kompa) i normalnie odpalił, pokazał się napis no signal ale ekran był zwężony z lewej i prawej. Podłączyłem kabel sygnałowy i podałem sygnał (z laptopa)....

    Monitory CRT Serwis   09 Sie 2008 07:42 Odpowiedzi: 12    Wyświetleń: 2798
  • DVR3216D - Przesłanie sygnału z rejestratora na telewizor

    (at)CrossingOver jak grubo to grubo, masz [url=http://www.dipol.com.pl/modulator_s... cosik :) Zadziała na 100%, tylko czy klient jak zobaczy cenę to nie ucieknie....

    Monitoring Wizyjny CCTV   03 Cze 2015 15:04 Odpowiedzi: 7    Wyświetleń: 1860
  • Modulator Maximum RF 3000 - obraz znika po kilku sekundach, co robić?

    Ja myślałem że Signal jest pordóbką Maximuma :) kasprzyk: że jest uszkodzony to ja wiem ale myślałem że może miał ktoś podobną przypadłość wymienił jakis element i wszystko wrócilo do normy. Podróbka czy nie, założyłem już kilka takich modulatorów i wszystkie działały a ten nie może wystartować. http://obrazki.elektroda.net/59_12438750...

    SAT Serwis   01 Cze 2009 19:43 Odpowiedzi: 6    Wyświetleń: 4811
  • Jak napisać sterownik PWM do LED w VHDL?

    Pisałem PWMkę jako urządzenie peryferyjne do Microblaze. Poniżej kod pojedynczego generatora PWM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity PWM is Port( clk : in std_logic; rst : in std_logic; preset : in std_logic_vector(7...

    Programowalne układy logiczne   20 Kwi 2008 11:47 Odpowiedzi: 26    Wyświetleń: 3441
  • Jaki najlepszy modulator rf - kryterum jakość obrazu

    http://www.dipol.com.pl/modulator_telewi... dość prosty, stosunkowo tani. Jeżeli ma być jeden w kablu to jak znalazł.

    RTV Użytkowy   12 Maj 2010 01:22 Odpowiedzi: 6    Wyświetleń: 3197
  • Wybór modulatora: mod 5.5 czy mod 6.5 do przesyłu sygnału TV?

    Hi, Chciałbym kupić modulator żeby wysłać sygnał z tunera (nie ma wyjścia po wysokiej) z parteru na piętro (mam położony kabel). Przeglądając dipola mam zgryz... Która fonia jest aktualnie w naszych telewizorach? Ten: [url=http://www.dipol.com.pl/modulator_t... 5.5 Czy ten: [url=http://www.dipol.com.pl/modulator_t...

    SAT Początkujący   15 Kwi 2011 16:53 Odpowiedzi: 4    Wyświetleń: 944
  • Jak połączyć dekoder Echostar z Datcom Ilusion do TV kablem antenowym?

    Kup zewnętrzny modulatorhttp://www.dipol.com.pl/modulat... Pozdro

    SAT Serwis   26 Gru 2008 13:37 Odpowiedzi: 3    Wyświetleń: 1785
  • Ferguson Ariva T65 - jak podłączyć do TV z wejściem antenowym?

    Potrzebujesz [url=http://www.dipol.com.pl/modulator_t... modulator i [url=http://www.sklepwideo.pl/details.ph... przejściówkę.

    Instalacje antenowe archiwum   08 Kwi 2013 08:26 Odpowiedzi: 19    Wyświetleń: 3789
  • Czy przedłużacz pilota na koncentryku zakłóci sygnał TV i radia?

    Witam Czy ktoś używał lub używa przedłużacza pilota: [url=http://www.dipol.com.pl/signal-link... Zastanawiam się czy nie będę miał zakłóceń, jeśli podepnę to pod kabel, na którym będzie radio, tv-sat, cyfrowa telewizja naziemna oraz jeden kanał z modulatora. Znalazłem na...

    SAT Serwis   26 Paź 2011 11:57 Odpowiedzi: 2    Wyświetleń: 1617
  • Stary TV (Royal TV-5104), a obsługiwane standardy kodowania obrazu i dźwięku...

    (at)kizek: Dzięki za odzew, po podpięciu w taki sposób: Konsola->Magnetofon(poprzez RCA/Chinch)->Wyjście słuchawkowe magnetofonu->Modulator(magnetofon wyjście jack-3.5->Przejściówka->Wejście RCA/Chinch) i w taki sposób, owszem działa... o ile tak ma być to ok, dodam że podpiąłem jeszcze dla testu konsolę Megadrive PAL, poprzez znajdujące się na niej...

    RTV Użytkowy   20 Sty 2012 21:23 Odpowiedzi: 10    Wyświetleń: 2246
  • Kamera IP z wyjściem Video; jak podłączyć do TV przez antenę TV ?

    np. http://www.dipol.com.pl/modulator_telewi... Oczywiście do TV z tunerem analogowym...

    Początkujący Naprawy   25 Cze 2014 21:39 Odpowiedzi: 3    Wyświetleń: 2382
  • Jaki dekoder telewizji naziemnej cyfrowej do starego typu telewizora?

    Do tunera musisz dokupić modulator żeby puścić obraz i dźwięk w analogu do telewizora. http://www.dipol.com.pl/modulator_telewi...

    RTV Co kupić?   03 Paź 2012 18:52 Odpowiedzi: 10    Wyświetleń: 11246
  • Sanyo VHR-310EE - zasnieżony obraz, brak strojenia programów, test signal

    Sądziłem,że pisząc typ opuściłeś jedno zero. Okazuje się,że jest to nowszy model SANYO i moje uwagi są nieaktualne(dotyczą VHR 3100EE). Czy tuner jest zintegrowany z głowicą czy z modulatorem a może "3 in 1" ? Czy jest sterowany szyną PLL(CLK,DATA,CS)? Spróbuję poszukać coś podobnego aby ocenić poprawność napięć. Czy ten model posiada:LC866124V-5396,...

    DVD/VCR/Kamery/BD Serwis   22 Cze 2002 13:36 Odpowiedzi: 9    Wyświetleń: 2313
  • Schemat nadajnika TV z wejściem RCA i wyjściem antenowym - poszukiwany

    Układ na rysunku będzie działał ale: - generator fonii (ten z prawej) jest ustawiony na 5,5 MHz , trzeba by przestroić go na 6,5 MHz lub zmienić standard w telewizorze; - generator wizji jest wstępnie ustalony na około 176 MHz - kanał E5 to 175,25 czyli tak samo jak nasza nośna kanału 6go; Dobra rada: jeżeli już chcesz coś takiego zbudować to lepiej...

    Radiotechnika Serwis   05 Lis 2009 11:29 Odpowiedzi: 6    Wyświetleń: 4229
  • Czy stara antena satelitarna wystarczy do odbioru Polsat Cyfrowy HD?

    Modulator to np. coś takiego: http://www.dipol.com.pl/modulator_telewi... A czy ten mały TV nie ma jeszcze zwykłego wejścia video (chinch)??

    SAT Technika Satelitarna   18 Lip 2009 13:18 Odpowiedzi: 21    Wyświetleń: 17928
  • Podłączenie tunera DVB-T do TV z wejściem antenowym i audio jack

    Po co zwracać dobry tuner jak można go podłączyć pod modulator i oglądać bez problemu telewizję? :) http://www.dipol.com.pl/modulator_telewi...

    RTV Użytkowy   02 Sty 2012 21:54 Odpowiedzi: 17    Wyświetleń: 7403
  • Nc+ WiFi box - Jak podłączyć dwa TV do jednego dekodera bez przeróbek?

    Tak chciałbym sterować pilotem z pomieszczenia gdzie jest tv To potrzebny jakiś pilot RF. mój dekoder nie ma tego wyjścia Nie jest ono do niczego potrzebne. Pilota NIE podłącza się do dekodera. Chciałbym też żeby chociaż na jeden z telewizorów szedł sygnał w HD http://www.dipol.com.pl/modulator_signal...

    Połączenia urządzeń   09 Sie 2015 21:35 Odpowiedzi: 6    Wyświetleń: 1575
  • Jak podłączyć Linbox do 14-letniego TV kineskopowego z wejściem antenowym?

    W tym przypadku będzie potrzebny modulator np. taki [url=http://www.dipol.com.pl/modulator_t... telewizyjny Do modulatora podłaczasz Linboxa i z modulatora wyjściem antenowym do TV. W Tv ustawiasz kanał jaki jest ustawiony w modulatorze i wyszukujesz go w TV i ogladasz.

    SAT Technika Satelitarna   22 Lip 2009 19:30 Odpowiedzi: 8    Wyświetleń: 1491
  • Jak podłączyć 4 telewizory do odtwarzacza Asus O!Play na 70m?

    To nie jest istotne, po prostu nie użyjesz wejścia fonii. To inny modulator, z kontrolą na wyświetlaczu LED numeru kanału wyjściowego: http://www.dipol.com.pl/modulator_telewi...

    RTV Użytkowy   16 Sty 2011 17:52 Odpowiedzi: 11    Wyświetleń: 1791
  • Instalacjia SAT w domku jednorodzinnym - prosze o pomoc

    Przy zastosowaniu multiswitcha nie ma szans na HB + Astra. Przy instalacji konwertera tylko na HB potrzebny będzie Ci tylko jeden konwerter quattro, polecam firmę Inverto. Co do modulatora, to wybór jest dość duży. Od modulatora w dużym stopniu zależy jaką jakość obrazu uzyskasz na podłączonych do instalacji TV. Tutaj znowu polecam modulatory firmy...

    SAT Serwis   16 Sty 2009 12:55 Odpowiedzi: 16    Wyświetleń: 5912
  • Jak podłączyć drugi telewizor do dekodera N, by oglądać te same kanały?

    Musisz kupić modulator. Podłączenie: dekoder, po SCART do modulatora, wyjście do TV, też po SCART, na drugi TV po kablu koncentrycznym. http://www.dipol.com.pl/modulator_telewi...

    RTV Użytkowy   25 Mar 2011 22:34 Odpowiedzi: 3    Wyświetleń: 1209
  • Jak podłączyć modulator Mezon MTV 61 do dwóch telewizorów?

    Ten pseudo rozgałęźnik pierwszy z lewej na zdjęciu od razu wyślij w kosmos... Ten drugi też się nie nadaje, chyba, że "podeprzesz" go wzmacniaczem. Musisz zastosować rozgałęźnik małostratny , np: RM -2F. http://obrazki.elektroda.pl/6333134900_1...

    RTV Użytkowy   18 Cze 2013 16:41 Odpowiedzi: 15    Wyświetleń: 4230
  • Jak podłączyć dekoder Cyfrowego Polsatu do komputera przez modulator?

    Witam tak jak w temacie, jak podłącze dekoder z cyfrowego polsatu przez modulator antenowy do kompa to jaki dostanę obraz ( jakościowo) , jakiego programu mam użyć,Na dekoderze wiem że będe mógł nagrywać, ale czy będe widział na kompie co nagrywam? http://www.dipol.com.pl/modulator_telewi...

    Połączenia urządzeń   24 Wrz 2013 07:54 Odpowiedzi: 2    Wyświetleń: 1950
  • Dekoder do naziemnej telewizji cyfrowej - jaki kupić? TV bez EURO.

    Pisałem już o tym, ale koledzy mówią, że musi to być dekoder z modulatorem, który kosztuje 250 zł, a tyle nie dam Po krótkim szukaniu znalazłem taki modulator. http://www.dipol.com.pl/modulator_telewi... Ten telewizor nie ma wejść cinch?

    RTV Co kupić?   19 Sty 2013 14:02 Odpowiedzi: 7    Wyświetleń: 6899
  • Mała instalacja na multiswitchu,czy el.pasywnych/aktywnych?

    Trzeci kabel masz po to żeby np. z tunera satelitarnego sygnał rozprowadzić za pomocą modulatora ( http://www.dipol.com.pl/modulator_telewi... ) na inne odbiorniki w domu, które oglądają to samo co leci na dekoderze "głównym" Schemat możesz oczywiście wykorzystać, jest w nim zawarty nawet...

    RTV Użytkowy   17 Mar 2010 15:17 Odpowiedzi: 5    Wyświetleń: 1718
  • Jak uruchomić tuner Ferguson Ariva T65 z Sony KV-1484MT bez AV?

    Jeśli telewizor nie ma żadnych wejść AV, to jedynym rozwiązaniem jest dokupienie modulatora TV - choćby taki . Jak poszukasz w necie - znajdziesz i tańsze.

    Połączenia urządzeń   10 Lut 2017 15:24 Odpowiedzi: 6    Wyświetleń: 2220
  • Daf XF 105 2007 - brak połączenia PC z EBS-2 i DIP-4, CAN OK, modulator tylny błąd

    Dzieki Krisbrumm po ciezkiej walce jest sukces . Po sprawdzeniu CAN network zaplon wylaczony H i L 61 ohma ,zaplon wlaczony napiecie na H 2.5V zas na L 2.3v wiec pokazuje ze jest ok. Wiec powrot do diagnostic socket wymiana na nowy przynosi efekt jest polaczenie . Nie ma wcale ostrzezen w EBS ale VIC pokazuje brakes system warnings -input signal HIGH....

    Samochody Ciężarowe   25 Cze 2011 10:16 Odpowiedzi: 5    Wyświetleń: 3838