REKLAMA

sinus modulowany

Znaleziono około 307 wyników dla: sinus modulowany
  • Falownik 3 fazowy z zaszytą funkcją sinus. Szukam informacji.

    W najprymitywniejszych rozwiązaniach (gdzie na wyjściu mamy prostokąt) występuje 6 stanów włączenia/wyłączenia 6 kluczy tranzystorowych. Dokładnie w trojfazowym falowniku (nie biorę pod uwagę falowników wielopoziomowych) mamy 8 stanów, z tym że dwa stany to tzw. stany zerowe. Co do modulacji to przykładowo masz zapisaną w pamięci tablicę sinusa i pozniej...

    Automatyka Falowniki   27 Mar 2005 16:14 Odpowiedzi: 8    Wyświetleń: 4203
  • sinus z prostokąta - jak?

    Czyli chcesz sobie zrobić falownik. Mając już 50Hz musisz to wzmocnić i dać na wzmacniacz mocy który byłby wstanie działać na indukcyjności. Ogólnie pomysł nie wart realizowania. Zbyt dużo roboty i praktycznie żadnych korzyści. Normalnie to robi się przetwornicę z 12DC na 320DC i z tych 320DC za pomocą kluczy tranzystorowych modulowanych PWM robi się...

    Projektowanie Układów   05 Paź 2006 22:24 Odpowiedzi: 24    Wyświetleń: 6329
  • Generowanie sygnału sinusoidalnego i jego interpretacja

    Dzięki za pomoc z demodulatorem sobie poradzę (postaram się zaimplementować to co jest zrobione w tym linku). Jeśli chodzi o modulację to znalazłem trochę linków, ale właściwie to wszystko opiera się albo na fx614 albo na parze xr2206 i xr2211 a to wszystko jest już średnio dostępne. Właściwie to fx614 to już się nie da kupić a tamte 2 to widziałem...

    DSP i Transmisja   13 Wrz 2012 14:49 Odpowiedzi: 9    Wyświetleń: 3147
  • REKLAMA
  • Przetwornica 12/230 SINUSOIDALNA z zastosowaniem procesora

    Nie wiem czy autor zrobił swoją przetwornicę ale normalne falowniki mają częstotliwość PWM powyżej 1 kHz. W niektórych falownikach można je ustawiać aż do ok 20kHz. Najprostszym sposobem na falownik PWM jest budowa 1 generatora przebiegu piło kształtnego i porównanie go na komparatorze z przebiegiem sinusoidalnym. Na wyjściu uzyskamy prostokątny przebieg...

    Elektro Maszyny i Urządzenia   30 Sty 2015 23:18 Odpowiedzi: 18    Wyświetleń: 4271
  • REKLAMA
  • Konwersja napięcia sinusoidalnego 50Hz, 12V na 1:64Hz (0.015625Hz) - czy to możliwe?

    Nie pisz więcej bo nie ma w twoich wypowiedziach nic mądrego , merytorcznego i przydatnego. Takie jest forum, że możesz się spodziewać informacji które nie pasują do twojego wyobrażenia o świecie. Zawsze możesz zamknąć temat bo za wypowiedzi osobiste i obraźliwe można dostać ostrzeżenie a potem bana. To jak obecnie zmieniasz kierunek prądu przy pomocy...

    Początkujący Elektronicy   07 Gru 2023 23:06 Odpowiedzi: 33    Wyświetleń: 903
  • pomiar napięcia sinusoidalnego jednokierunkowego ATMEGA

    Musze zmierzyć napięcie z przetwornika prąd - napięcie podłączonego do fotodiody, która jest zasilona światłem o natężeniu modulowanym sinusidalnie. Tak więc na wyjściu pretwornika jest napięcie które chce zmierzyć, tzn chce zmierzyć ampliyude sinusa bądź wartość miedzyszczytową bez składowej stałej Jest to napięcie zmienne ale nie przechodzi przez...

    Mikrokontrolery   23 Kwi 2007 14:12 Odpowiedzi: 10    Wyświetleń: 2741
  • REKLAMA
  • PWM-jak uzyskać sinusoidę?

    w vmlab jes przyklad tak zasadnicz motyw jast taki ze musisz napisac tablice sinusow do wyscia pwm podlaczas kondesator no i zmieniasz wyplenia Czy vmlab to jakaś odmiana matlaba?? nie bawiłem się jeszcze nigdy matlabem. uzyskanie przebiegu sinusoidalnego za pomocą modulacji częstotliwości uzyskiwanej w wyniku porównania sygnału nośnego z sygnałem...

    Mikrokontrolery   02 Mar 2005 13:43 Odpowiedzi: 4    Wyświetleń: 1870
  • Budowa falownika 3-fazowego, sinus. Algorytmy?

    Mam ten sam problem z falownikiem niby się uporałem u mnie z baterii akumlatorów 24V przetwarzam się na 320 stałego a następnie na jadnofazowe napięcie sinus uzyskiwane z układu o modulowanej szerokości impulsów zrealizowane w moim wypadku na pamięci EEPROM i układzi licznikowym /napędzam /usiłuję/ silnik jednofazowy 1.1kVA i tu zaczynają się schody...

    Automatyka Falowniki   08 Lip 2010 10:05 Odpowiedzi: 61    Wyświetleń: 43223
  • Czysty Sinus stary agregat - Jak otrzymać czysty sinus ze starego agregatu bez A

    Anchilos dzięki, jeśli to rzeczywiście wytwarza na wyjściu sinus niezależnie od przebiegu na wejściu to o takie coś mi chodziło. Zastanawiam się tylko czy rzeczywiście tak jest, że nie jest to tylko avr, a sinus to tylko tak piszą bo na wejściu sinus to na wyjściu też (nie zakładajac że ktoś to podłaczy do agregatu z przebiegiem schodkowym). Zastanawia,...

    Elektro Maszyny i Urządzenia   18 Lis 2021 10:24 Odpowiedzi: 4    Wyświetleń: 5094
  • Serwisowy generator przebiegów sinusoidalnych->potrzebny

    Jedynie z czym miałem problem to policzyć Rdsmin , bo nie mogę się odnaleźć w katalogu BF245A jak to zrobić. Zobacz tu na rys.3 I z wykresu odczytujemy Idss=4mA i Up=-2V I rds=1/gm gdzie gm to transkonduktancja równa dla Ugs=0V gm=2Idss/Up² =8mA/4V=2mS A z tego rds=1/gm=1/2mS=500Ω 1) dlaczego C3 który wyliczyłeś jako 47nF wstawiłeś do układu...

    Początkujący Elektronicy   17 Gru 2007 21:10 Odpowiedzi: 31    Wyświetleń: 12414
  • Jak uzyskać czysty sygnał sinus po separacji galwanicznej? [SM-LP-5001]

    Obecnie nie mam dostępu do tego sprzętu bo zarówno generator jak i oscyloskop jest u mnie w pracy, są to firmowe urządzenia. A tą separację potrzebuję wykonać bardziej dla siebie i gdy znajdę tylko trochę wolnej chwili to korzystam z tych firmowych urządzeń. Generator to tak naprawdę tester komunikacji RF, jest to HP 8920B. Na nim jest możliwość ustawienia...

    Początkujący Elektronicy   08 Kwi 2019 09:17 Odpowiedzi: 9    Wyświetleń: 822
  • REKLAMA
  • Budowa generatora kwadraturowego -na wyjśćiu sygnał sinus i

    Witam, witam. chciałbym zbudować generator kwadraturowy o częstotliwości 20kHz z możliwości zmiany +/- 5kHz i amplitudą 5V (w sumie może być też 2V lub 1V). Znalazłem sporo materiałów ale z racji tego że jestem żółtodziobem (jeśli mogę tak napisać) nie mam pojęcia jak sobie z tym poradzić. Dlatego proszę o pomoc :) z góry dziękuje za każda wskazówkę...

    Początkujący Elektronicy   20 Sty 2008 22:35 Odpowiedzi: 8    Wyświetleń: 3480
  • częstotliwość modulująca

    Nijak się ma. Parametry nie są ze sobą związane. 104,1 MHz, modulacja AM, sygnał modulujący sinus 4kHz, głębokość modulacji mniej niż 100% -> odbiornik odbiera częstotliwość 4kHz, pasmo zajmowane w eterze to 2x4kHz=8kHz, środek pasma 104,1. 104,1 MHz, modulacja FM, sygnał modulujący sinus 4 kHz, dewiacja ile ? załóżmy +/-75 kHz -> odbiornik odbiera...

    Początkujący Elektronicy   01 Cze 2006 11:54 Odpowiedzi: 2    Wyświetleń: 1595
  • MATLAB sinusoidalna modulacja częstotliwości

    Witam, potrzebuję napisać skrypt(m-file) matlaba który moduluje sinusoidalnie częstotliwość. Mam to zrobić "na piechotę". Oto mój skrypt: fpr=50000; dt=1/fpr; N=10000; n=0:N-1; t=dt*n; fs=100; fm=10; a=(2*pi*fs*t); b=(2*pi*fm*t); x1=230*sin(a); plot(t,x1); pause xm=sin(b); ff=abs(xm); plot(t,ff); pause f=fs*ff; x2=230*sin(2*pi.*f.*t); plot(t,x2); pause...

    Programowanie Początkujący   24 Paź 2010 22:29 Odpowiedzi: 5    Wyświetleń: 5930
  • generator sinus 50Hz i piła 10kHz na procku AVR

    Ok a jak ustalac amplitude sinusa? - musi byc koniecznie z procka modulowana.. Jak znieksztalce trojkat to bede mial sinus o tej samej czestotliwosci? bo te maja sie roznic

    Mikrokontrolery   26 Cze 2010 08:56 Odpowiedzi: 12    Wyświetleń: 4260
  • Wzmacniacz mocy sygnału sinusoidalnego 100 kHz +zasilanie.

    Wzmacniacz ma zasilać cewki modulujące. Wielkość sygnału wyjściowego powinna być regulowana do minimum 60 dB (może być więcej). Sterowanie wzmacniaczem powinno odbywać się przy pomocy oprogramowania LabView (trzeba będzie napisać program). Tak, wartość 100W odnosi się do zasilacza. Zasilanie z sieci 230V. Jutro sprawdzę resztę bo będę przy maszynie....

    Początkujący Naprawy   13 Sty 2011 19:33 Odpowiedzi: 11    Wyświetleń: 4215
  • VCO-sinus w układzie scalonym

    "The frequency of the waveform generator is a direct function of the DC voltage at Terminal 8 (measured from V+). By altering this voltage, frequency modulation is performed. For small deviations (e.g. ±10%) the modulating signal can be applied directly to pin 8, merely providing DC decoupling with a capacitor as shown in Figure 5A. An external...

    Początkujący Elektronicy   17 Wrz 2012 14:51 Odpowiedzi: 6    Wyświetleń: 1701
  • Przetwornica 12VDC/230VAC sinus

    -Podasz PWM modulowany sinusem na transformator - będziesz miał duże straty w rdzeniu. Właśnie tego się obawiałem. Jestem informatykiem i nie znam się na elektronice aż tak dobrze. Głównie buduje układy cyfrowe na AVR dlatego potrzebuje pomocy przy takiej przetwornicy. Rozumiem że straty będą spowodowane wysoką częstotliwością PWM? Układ nie jest zbyt...

    Projektowanie Układów   19 Lut 2013 21:22 Odpowiedzi: 12    Wyświetleń: 12909
  • Nadajnik fali sinusoidalnej - szukam schematu

    Ma być modulowany? Sama nośna tylko?

    Początkujący Naprawy   22 Mar 2013 09:37 Odpowiedzi: 7    Wyświetleń: 1353
  • Jak sprawdzić sinusoidę na wyjściu UPS-a

    Jak chcesz w sposób maksymalnie bezpieczny i tanio, to mam jeszcze takie pomysły: * ADC z szeregowym przesyłaniem danych (ADS7816, 12 bitów, 200ksampli/s, 8pin, 20zł) i separację przy użyciu transoptorów; drugą stronę transoptorów podłączasz pod port w PC; to zapewni dużo lepsze odwzorowanie przebiegu (dokładność lepsza, niż 0.1%), niż dwa dalsze pomysły,...

    Początkujący Elektronicy   24 Gru 2015 21:22 Odpowiedzi: 14    Wyświetleń: 3303
  • Cewka Tesli modulowana dźwiękiem - projekt electroboom`a

    Problem w tym, że właśnie sztywny generator nie wystarczy - częstotliwość rezonansowa obwodu wtórnego jest bardzo dynamiczna (zależy od środowiska, a nawet wyładowania, wszkaże ustalają ją m.inn. pojemności rozproszone względem otoczenia) - zachowanie dobrego zestrojenia bez sprzężenia zwrotnego nie jest możliwe. Dryft częstotliwości wynikły ze zmian...

    Początkujący Elektronicy   23 Kwi 2016 21:06 Odpowiedzi: 7    Wyświetleń: 1530
  • Demodulator FM, filtr prostokąt - sinusoida

    Czy mógłby mi ktoś poradzić jaki filtr zastosować na wyjściu demodulatora FM?? Chce stworzyć modulator FM na pętli PLL. Problem polaga jednak na tym że sygnał wyjściowy z takiego demodulatora będzie prostokątny a zależy mi na tym aby przekształcić go w sinusoide. Sygnał z demodulatora będzie taki jak przebieg modulujący, jak zmodulujesz siusem będzie...

    Projektowanie Układów   26 Paź 2016 22:01 Odpowiedzi: 19    Wyświetleń: 1506
  • Budujemy inwerter sinus do zasilania pomp centralnego ogrzewania.

    Zastosowałem go w mojej DC/DC na zdjęciu w obudowie atx i zdał egzamin, choć nośną ma ok.31 kHz i jest modulowany dwu biegunowo. Wrócę do niego niebawem po zmianie trafa. Modulator z attiny jest na zdjęciu gdzie porównałem wymiary dwóch rozwiązań. Ponadto układ Attiny tego falownika świetnie nadaje się jako generator sinusa w tym zakresie częstotliwości...

    Projektowanie Układów   21 Lis 2019 21:17 Odpowiedzi: 100    Wyświetleń: 20082
  • Najwydajniejsze modulowanie danych przy ograniczonym paśmie

    Witam ponownie. Wracając do tematu modulacji - wyczytałem że telefonia w modemach korzystając z sygnału dźwiękowego modulowała dane za pomocą sinusoid na trzy sposoby - w AM, FM i PM, dając łącznie 8 bitów na jeden przypadający Hz; i na paśmie 8 kHz udało się dzięki temu zakodować dane o przepustowości 64 kbps. Właśnie o to mi chodzi, tylko nie wiem...

    Początkujący Elektronicy   11 Lip 2018 09:58 Odpowiedzi: 30    Wyświetleń: 894
  • Termet Gold Plus sinusoida mocy palnika

    Naprawdę nie rozumiem was, ustawiasz różnice miedzy zasilaniem a powrotem na 8 stopni, na filmie masz 13 stopni roznicy-zawsze tak masz??? Skoro masz 13 stopni to ustaw na 13 stopni, bo widzisz ze pompa hula na 100% mocy i palnik faluje bo stara się osiągnąć ustawione przez ciebie parametry... Nie nie...Ustawiłem temperaturę P13 na 8 stopni, żeby chociaż...

    Systemy Grzewcze Użytkowy   25 Lis 2022 14:41 Odpowiedzi: 141    Wyświetleń: 15711
  • Przetwornica Volt sinus 1000 - za wysokie napięcie na wyjściu - 380V

    Podłączyłem do akumulatora samochodowego (wczesniej łączyłem do 4 ogniw liIon), i na wyjsciu mam napiecie bez obciązenia "floating", ktore osiąga az 1kV. skacze od 400V w górę. Jak obciążyłem lutownicą 50W, to napięice spadło do 393V. Co według mnie i tak jest za wysokie. Zakładam, że kontrola napięcia na wyjsciu "feedback" jest chyba uszkodzona. Które...

    Zasilacze, ładowarki   15 Maj 2022 09:23 Odpowiedzi: 2    Wyświetleń: 552
  • Prośba o generator sinusa 50 Hz

    Generator ten ma modulować inny przebieg. Wobec tego nie jest potrzebna duża moc, a napięcie w zakresie 0-5V. Stabilność ... no coż, myślę, że wystarczy +/- 10%

    Projektowanie Układów   28 Wrz 2004 22:38 Odpowiedzi: 8    Wyświetleń: 3213
  • Przetwornica 12 / 220 SINUS - Jak to zrobić??

    Niekoniecznie trzeba bawić się podnoszeniem stałego... Równie dobrze można modulować PWM 12V i poprzez filtr dolnoprzepustowy na trafo.

    Projektowanie Układów   06 Sie 2005 16:01 Odpowiedzi: 9    Wyświetleń: 4075
  • programowalne I2C modulowane oscylatory VCXO 10MHz - 1.4GHz

    A to daje na wyjściu sinusa czy prostokąta? Bo widzę jakieś dzielniki przed buforem z wyjściem symetrycznym....

    Radiotechnika Serwis   18 Paź 2008 12:44 Odpowiedzi: 2    Wyświetleń: 1624
  • Jaki głośnik wysokotonowy 16kHz-20kHz pod ciągły sinus ok 100W

    Brałem kiedyś udział w projekcie odstraszania gryzoni w chłodniach przetwórni owocowo-warzywnej - tam sygnał ciągły ( modulowany jak w karetkach pogotowia) w podobnym paśmie był emitowany z kolumn po 16 szt GDWK 9/80 - sterowanych wzmacniaczami po ok 50 W. Pasmo nie było słyszalne uchem ludzkim, tylko wykrywały je odpowiednie przyrządy.... Z opisu autora...

    Estrada Co Kupić   02 Maj 2011 21:37 Odpowiedzi: 12    Wyświetleń: 1993
  • [Sprzedam] APC SMART UPS SUA2200RMI2U Rack 19" 2200VA Nowy TANIO

    Witam, Sprzedam Nowy nieużywany zasilacz awaryjny renomowanej firmy APC. Model: APC SMART UPS SUA2200RMI2U Rack 19" 2200VA UPS został zakupiony w zeszłym tygodniu u dystrybutora APC jednak okazało się ze nie wchodzi do szafy rackowej gdyz szafa ma głębokość 60cm a sam UPS ma 66cm. Dlatego zmuszeni jesteśmy sprzedać go po niższej cenie. Urządzenie nawet...

    Komputery Bazar   25 Lis 2011 00:11 Odpowiedzi: 1    Wyświetleń: 2110
  • Zasilanie awaryjne pompy c.o.

    Pierwszy blok na stałe 310V na SG3525. Drugi blok przetwarzania na prad zmienny na generatorze kwarcowym i mosfetach IR740, tworzacych mostek. Na wyjsciu uzyskałem tylko kształt lekko zbliżony do sinusoidy. jeżeli masz dwa stopnie przetwarzania , to najłatwiej uzyskać sinusoidę modulując przetwornicę 12VDC/V310 przebiegiem sinusoidalnym 50Hz,który...

    Elektro Maszyny i Urządzenia   04 Mar 2005 11:46 Odpowiedzi: 15    Wyświetleń: 11758
  • Generator opcjonalny Megawave Atmega

    Na wstępie chciałbym przybliżyć, skąd taka a nie inna nazwa nadana przeze mnie temu projektowi. Prace rozpoczęły się w momencie zapotrzebowania na minimum dwa zsynchronizowane generatory małej częstotliwości. Ponieważ zakres przestrajania jaki mnie zadowalał ograniczał się do 100Hz przy rozdzielczości 0,01Hz wybór padł na zalegające w szufladzie ATMEGA....

    DIY Konstrukcje   08 Wrz 2012 12:24 Odpowiedzi: 3    Wyświetleń: 11604
  • Jak skompresować/dekompresować widmo 0-15 kHz do 0-4,5 kHz?

    Mając chwile czasu pogrzebałem w internecie. Przebieg AM jaki jest każdy wie, DSB wygląda jak AM ale z nieco mniejszą głębokością modulacji, natomiast SSB wygląda po prostu jak sinus. Jest to zwykły sinus o amplitudzie przebiegu modulującego przesunięty o częstotliwość modulującą względem "nośnej: Dajmy na to Fc - 10MHz Fm - 1kHz dla lsb uzyskamy 9999,0Khz...

    Radiotechnika Początkujący   11 Sty 2016 12:44 Odpowiedzi: 17    Wyświetleń: 1107
  • Optymalizacja torów zasilania - część 1

    Rosnąca ilość danych gromadzonych, przesyłanych i przechowywanych we wszystkich systemach elektronicznych — od 5G po aplikacje przemysłowe — rozszerzyła granice wymaganej wydajności urządzeń do przetwarzania sygnałów analogowych, niektóre do poziomu gigasampli na sekundę (miliardów próbek na sekundę). Ponieważ tempo innowacji nigdy nie zwalnia...

    Artykuły   23 Gru 2021 18:45 Odpowiedzi: 0    Wyświetleń: 888
  • Zaawansowane sztuczki z PWM - Cyfrowy syntezator dźwięków

    Dzisiaj fragment książki ----------------------------------------... Rozdział 13. Zaawansowane sztuczki z PWM Cyfrowy syntezator dźwięków Aby generować dźwięki nieco bardziej interesujące od fal prostokątnych, musimy wykorzystać technikę PWM, żeby szybko zmieniać wartość średnią napięcia, które będzie wyznaczało...

    Artykuły   22 Mar 2015 18:00 Odpowiedzi: 0    Wyświetleń: 5580
  • Samson txm20 - Naprawa spalonej końcówki mocy

    Ok. Tak zrobię. Co do sprawdzania to tylko miernikiem na sucho sprawdziłem bez podawania sygnału, ale zaraz to sprawdzę. Dodano po 39 Już zrobione. Sterujące wlutowane i na wyjściu pojawiło się 33mV stałego z tendencją do spadania do wartości poniżej 25mV. Czy to znaczy, że układ się wygrzewa? Sygnał podawany na wejście jest wzmacniany z tym, że przy...

    Audio Początkujący   23 Cze 2014 16:58 Odpowiedzi: 65    Wyświetleń: 5112
  • Sony ST D709 - niska czulość FM Tuner Sony ST D709

    Środek pasma przepustowego filtrów ceramicznych pięknie widać jak użyjesz generatora z nośną falą zmodulowaną FM sygnałem sinus 1kHz. Modulujesz powyżej dewiacji +/-75kHz (nawet do +/-150kHz) i jak zapniesz na wyjście demodulatora FM w radiu oscyloskop, celem obejrzenia sygnału 1kHz po demodulacji i zwiększając modulowanie powyżej progu 75kHz, to w...

    Audio Początkujący   25 Maj 2019 23:28 Odpowiedzi: 32    Wyświetleń: 2313
  • DDS i modulacja częstotliwości (cyfrowo)

    Witam, Czy ktoś próbował uzyskać za pomocą syntezy DDS modulację częstotliwości? Z jakim skutkiem? Obecne układy Analog Devices z wpisem równoległym można przestrajać bardzo szybko (chyba kilkaset ns), można by więc w sposób cyfrowy zmieniać wytwarzaną częstotliwość np. wg funckcji sinus (jako modulującej). Tylko ten sinus będzie także próbkowany, więc...

    Radiotechnika Serwis   15 Mar 2007 23:07 Odpowiedzi: 14    Wyświetleń: 3827
  • Veronica słaby sygnał z generatora

    Zasada jest taka, że gdy używasz warikapów nigdy nie żyłuj generatora. Im bardziej będziesz żyłować będą większe szumy. Dobrze zaprojektowany generator pracuje bez zastrzeżeń bez względu na warikap. Czy to podwójny BB104G czy BB105G czy ich odpowiedniki czy też pojedynczy BB105G. Będą tylko się różniły czułością modulacji. Dobrze dobrany polaryzacja...

    Radiotechnika Serwis   13 Lut 2010 08:58 Odpowiedzi: 39    Wyświetleń: 10198
  • Modulacja AM skąd bierze się szerokość widma

    Do tego co napisał kolega powyżej przydał by się rysunek widma AM, jest w artykule na Wikipedii: Skoro częstotliwość nośnej się nie zmienia, a tylko jej amplituda, to skąd bierze się szerokość widma od: -5kHz do: 5kHz?! Inaczej niż matematycznie trudno to wytłumaczyć, a z matematyki wynika że przebieg sinusoidalny modulowany amplitudowo sinusem, mozna...

    Początkujący Elektronicy   31 Mar 2015 07:01 Odpowiedzi: 11    Wyświetleń: 1443
  • klucz tranzystorowy do sterowania diodą led

    Podaj więcej szczegółów - częstotliwość, odległość LED-a od fotodiody - czy chodzi tylko o separację galwaniczną?, czy o przesyłanie na jakąś odległość? Klucz to na pewno nie będzie, bo klucz służy do włącz-wyłącz. To powinien być tranzystor pracujący w obszarze liniowym - klasa A, odpowiednio spolaryzowany dla średniego prądu LED-a (np. 10mA - gdy...

    Początkujący Elektronicy   26 Kwi 2012 12:47 Odpowiedzi: 16    Wyświetleń: 3797
  • Wzmacniacz sygnału - niepoprawne działanie AD8016

    Za duzo pytan, brak danych. Nawet schematu nie widac - blokowy mozesz sobie podarowac. Jak wysoko ma to pracowac - moc, czestotliwosc, ksztalt fali? Myslisz, ze to forum jasnowidzow, czy jak? Schemat: Moc- nie wiem. Częstotliwość: 0.1-1 MHz. Kształt sygnału podawanego na przetwornik: sinus, trójkąt, sinus modulowany i inne. Czy zna ktoś jakieś inne...

    Początkujący Elektronicy   06 Lut 2013 19:44 Odpowiedzi: 10    Wyświetleń: 2820
  • Czestotliwosc Pilota do Garażu

    Przypomnę typową i powszechna w większości mierników zasadę pomiaru częstotliwości: Liczymy impulsy sygnału w pewnym przedziale czasu. A jak tu zmierzyć te impulsy sygnału zmodulowanego AM jak raz są a raz ich nie ma z płynnym przejściem pomiędzy tymi stanami w przypadku sinusa modulującego a w przypadku danych pilota to jakiś prostokąt o wypełnieniu...

    Radiotechnika Serwis   28 Wrz 2006 09:07 Odpowiedzi: 14    Wyświetleń: 2304
  • Icoon 12" woofer

    pomiarów dokonałem w Speaker warkshop - mam skalibrowany z najnowszym Clio i wyniki są praktycznie w 100% zgodne (roznice w SPL ponizej 0,5dB) porównywałem tez wyniki pomiarów z aparaturą STX'a (starsza wersja clio) i LDMu (pomiary oparte na technice sinusoidy modulowane) we wszystkich przypadkach roznice nie przekraczały 1dB i tu np Beyma 12G125 osiąga...

    Nagłośnienie Profesjonalne   04 Lut 2007 23:20 Odpowiedzi: 26    Wyświetleń: 1983
  • OWON MSO 5022 analizator logiczny 16CH wymiana doświadczeń

    Nieźle! A nie masz możliwości zapodania jakiegoś sinusa modulowanego?

    Warsztat elektronika   12 Mar 2009 14:56 Odpowiedzi: 10    Wyświetleń: 5603
  • Prądnica Asynchroniczna z silnika klatkowego

    Pytanie do wesmar: Mam silnik indukcyjny z uzwojonym wirnikiem. Ma 3 pierścienie i 3 uzwojenia. Wykorzystuję go jako prądnicę podłączając 12V prądu stałego na 2 z 3 pierścieni. Próbowałem łączyć na 3 ale nie ma zadowalającego efektu. Na czym polega problem: otóż poprzez niesymetryczne rozmieszczenie pola magn. w wirniku występuje efekt wzbudzenia prądu...

    Elektro Maszyny i Urządzenia   26 Lip 2020 23:10 Odpowiedzi: 148    Wyświetleń: 130628
  • MCR II 24/28 MI - typowy błąd E4 i E5, walnięcie pięścią pomaga

    Obserwowałem jeszcze sam proces zapłonu, bo jeśli po kilku próbach w końcu go przejdzie i płomień utrzyma się przez 5-10 sekund będzie się palił ile trzeba. Choć uszczelki wymieniam, to zastanawiam się czy nie ma jakiegoś problemu w samym procesie odpalania. Zastanawia mnie, czy prawidłowe jest, że w chwili odpalania, gdy kocioł wyświetla "2", wentylator...

    Systemy Grzewcze Serwis   18 Gru 2018 19:18 Odpowiedzi: 5    Wyświetleń: 13302
  • Rejestracja przebiegów napięcia w oscyloskopie Siglent SDS1104X-E - procedura i wskazówki

    Nie znam się, więc się wypowiem :D W Rigolu DS1054Z trzeba włączyć pomiar RMS dla danego kanału, potem MEASURE->HISTORY->DISPLAY=ON Nie wiem jak to zapisać na pendriva co prawda... (ktoś wie?) Do tego co chcesz zrobić natomiast nieźle nadaje się tryb zwykły - tylko z podziałką 1s/DIV. Rigolowi wystarcza bufora do obejrzenia przebiegu 50 Hz z detalami....

    Warsztat elektronika   22 Lut 2022 20:41 Odpowiedzi: 2    Wyświetleń: 273
  • Falownik VFD: Rozgałęzienie 1F na 3F (L1, L2, L3) - połączenie N na wejściu/wyjściu

    mam już urządzenie które Przerabia mi jedną fazę na 3 przesunięte fazy, tylko że z tego urządzenia wychodzą mi trzy fazy ale bez neutrala i teraz nie wiem skąd mam wziąć neutral. Neutral w falownikach PV to tzw 0V wg którego tranzystory kluczują z +310 i -310V symetryczny sinus modulowany PWM-em. W falowniku silnikowym odpowiednikiem tego '0' byłby...

    Elektryka Dla Każdego   28 Sie 2022 13:24 Odpowiedzi: 50    Wyświetleń: 1452
  • Detektory fazoczułe ułatwiające pomiar niewielkich sygnałów

    Wstęp Synchroniczna detekcja pozwala na ekstrakcję niewielkich sygnałów, zwykle 'zagrzebanych' w szumie, co umożliwia pomiar bardzo małych wartości rezystancji, naprężenia, a także natężenia światła, jednocześnie pozwalając na odrzucenie istniejącego tła. W wielu układach poziom szumu zwiększa się wraz ze zbliżaniem się częstotliwości sygnału do zera....

    Artykuły   23 Cze 2015 09:02 Odpowiedzi: 1    Wyświetleń: 5580
  • Jak poprawic ch-ke przenoszenia wzm. Push-pull 2xEL84?

    Bo to nie ma sensu. Odczucia spowodowane słuchaniem muzyki są zmienne, wybitnie subiektywne i indywidualne. To co dla Ciebie jest "płaskim brzmieniem" dla kogoś innego będzie "super przestrzenią". Generalnie wzmacniacz służący do reprodukcji gotowej muzyki ma być maksymalnie "przeźroczysty dla sygnału", a to akurat da się zmierzyć. Taki wzmacniacz...

    Elektronika Retro   28 Sty 2008 11:11 Odpowiedzi: 45    Wyświetleń: 13408
  • wydzielenie sygnału mono od stereo z ścieżki dżwiękowej

    Wszystko rozumiem co piszesz. Chciałem do tego podejść w dwojaki sposób. Pierwszy to: Rozłożyć dżwięk tak by było widać w jakiej częstotliwości i jak głośno gra dana częstotliwość w paśmie. Mugł bym potem powycinać kwadraciki jeśli cały ekran pasma był by na nie podzielony i każdy kwadracik to był by najsilniejszym miejscem gdzie gra głos i na dodatek...

    Software serwis   24 Maj 2008 13:44 Odpowiedzi: 3    Wyświetleń: 1983
  • Jak zmierzyć różnicę fazy z pomocą oscyloskopu

    Wszystkie sygnały okresowe można opisać w kategoriach amplitudy i fazy. Jest to wiedza przekazywana na podstawowych zajęciach z teorii obwodów. Przypomina się to za każdym razem, gdy obserwuje się wiele zjawisk w układach elektronicznych, chociażby niektóre rodzaje wzmacniaczy, które odwracają fazę sygnałów itp. Pomiar fazy sygnału wydawać może się...

    Artykuły   01 Paź 2021 13:05 Odpowiedzi: 4    Wyświetleń: 3798
  • Chińskie wrzeciono szczotkowe CNC - wyważenie w domowych warunkach

    AVE... Tylko że prądu nie możemy zmienić, bo inaczej statyczne straty na rezystancji uzwojeń będą za duże. Niebardzo rozumiem to zdanie. Wiesz że silniki krokowe podczas pracy zasilane są napięciem przemiennym sinusoidalnie, dwufazowym? I prąd uzwojeń również jest sinusoidą. Mylisz się. Silniki krokowe są silnikami pradu stałego. Napięcia na uzwojeniach...

    Amatorskie Maszyny CNC   01 Wrz 2021 20:27 Odpowiedzi: 97    Wyświetleń: 9396
  • Prostownik z transformatora LED 12V do akumulatorów 12V kwasowo-ołowiowych - możliwości i adaptacja

    Diodami Schottky można wyprostować nawet szybki przebieg, do tego mało napięcia się traci na ich napięciu przewodzenia. Transformator elektroniczny może dawać napięcie do sporej częstotliwości (np. 50kHz) z grubsza prostokątne, zmodulowane sinusoidą. Szczytowe napięcie może być np. 17V (dla prostokąta modulowanego sinusoidą: 16,4V, a za mostkiem 15V)...

    Projektowanie Układów   27 Kwi 2023 15:54 Odpowiedzi: 10    Wyświetleń: 336
  • Odbiornik Relax II - naprawa zakresu UKF

    ja może nie bardzo potrafię wyjaśnić, o jaki szum czy zniekształcenia mi chodzi; to jest coś takiego, że jak się dostrajam do jakiejś stacji, to jeśli listki się zbliżą maksymalnie, pojawiają się silne zniekształcenia, nawet szum, i trzeba się trochę odstroić. Wygląda to na przesterowanie całego toru p.cz. Najwyraźniej namiastka ARW, czyli sterowanie...

    Elektronika Retro   22 Lis 2010 10:59 Odpowiedzi: 41    Wyświetleń: 14719
  • [atmega16][C] - ADC jak podłączyć mp3 ?

    Tylko czy początkujący poradzi sobie z FFT :P Bo ja wiem że ona czyni cuda w sprzęcie pomiarowym jednak zastosowanie wymaga dość sporej wiedzy. Nikt też nie mówi że musowo używać FFT :D (DFT też nie) 1. Jak pozyskać wartość szczytową ? Bardzo prosto. Próbkujesz sygnał i jeżeli Wartość chwilowa jest większa od poprzedniej to zwiększasz ją. Wcześniej...

    Mikrokontrolery AVR   01 Cze 2015 22:22 Odpowiedzi: 26    Wyświetleń: 1329
  • Analizator widma na układzie MSGEQ7

    W poniższym artykule skupimy się na zebraniu wszystkich informacji o aplikacji układu MSEQ7 – scalonego analizatora widma dla sygnałów audio. Projekty ‘audio-reaktywne’ W pierwszej kolejności zarysować musimy potrzebę wykorzystania tego rodzaju układu. Załóżmy, że chcemy skonstruować jakieś urządzenie, które reaguje na dźwięk. Może...

    Artykuły   18 Lis 2019 21:11 Odpowiedzi: 2    Wyświetleń: 5205
  • Przetwornica 12/230V jakiej sprawności maksymalnej można się spodziewać

    Czy taka proporcja wypełnienia jaką przedstawiłem w poście #1 najlepiej aproksymuje sinusoidę 50Hz. Czy jest optymalna ? Nie, Są różne typy modulacji, które bardziej przybliżają sygnał do sinusa. Jednocześnie jeśli chcesz zasilać tym elementy nie potrzebujące kształtu sinusa, to nie ma potrzeby się do niej zbliżać. Wyznacznikiem jakie składowe ma sygnał...

    Układy Zasilające   08 Cze 2023 14:02 Odpowiedzi: 25    Wyświetleń: 819
  • Jak przejść na off-grid przy awarii, mając 10kW PV on-grid?

    Jestem bardzo zaskoczony bo były już próby na tym forum spięcia inwertera z agregatem i nikomu się to nie udało. Możesz podać dokładnie jaki masz model agregatu i czy masz możliwość pomiaru oscyloskopem napięcia na jego wyjściu przy obciążeniu i bez? Są agregaty inwerterowe które na wyjściu zamiast sinusa mają przebieg kwadratowy. Kipor (Pezal) czyli...

    Energia Odnawialna   14 Mar 2021 21:04 Odpowiedzi: 58    Wyświetleń: 3861
  • UPS APC - żywotność baterii/trwałość urządzenia

    To mi gwoździa zabiłeś teraz... Patrzę a tam w rubryce kształt napięcia: - sinusoidalny - pełna sinusoida - symulowana - przybliżona - sinusoida dalej mamy - modulowany sinus - modyfikowana sinusoida - aproksymowana - oraz fala. Kurna, dla mnie był tylko sinus, cosinus i tam jeszcze o tangensach słyszałem ;) My jeszcze po polsku piszemy ? bo coraz mniej...

    Komputery Co kupić?   11 Lut 2019 11:01 Odpowiedzi: 20    Wyświetleń: 4698
  • Budowa odbiornika DCF - bez U4221B i jemu podobnych.

    No gdyby to było takie proste... Jednak nie jest. Widzisz w ostatnim stopniu wprawdzie są zmiany na tyle duże by wysterować jakiś tam tranzystor kluczujący. Mimo to nie jest to możliwe. Widzisz transmisja DCF działa tak: każdy bit trwa 1s. Jeden i zero są kodowane amplitudowo - czasowo. Jeden logiczne to spadek poziomu sygnału do 25% w stosunku do poziomu...

    Projektowanie Układów   02 Sty 2009 01:45 Odpowiedzi: 11    Wyświetleń: 3613
  • Grid Dip Meter - Doświadczenia, konstrukcje, pomysły.

    Spróbowałem powielić konstrukcję opisaną jako AVT-348 na scalonym generatorze ECL. Autor tego artykułu cytuje wcześniejszą konstrukcję opartą o UL1202, mam takich kilka, może spróbuję coś z tego zrobić. Do mojego lampowego układu dodałem funkcję modulacji AM sygnałem pochodzącym z oscylatora na neonówce. Na zdjęciach modulowany sygnał AM oraz zdemodulowany...

    Elektronika Retro   12 Maj 2020 00:32 Odpowiedzi: 7    Wyświetleń: 1785
  • Wnętrze starego komputerowego zasilacza UPS, uruchomienie jako inwerter solarny

    Mało które urządzenie (poza tymi które mają zasilacze impulsowe) będzie poprawnie działać przy takim kształcie przebiegu wyjściowego jak pokazał (at)p.kaczmarek2 na oscylogramach. W teorii, a tu ma elektrodzie lubimy teorię. Często teorię zbyt uproszczoną i nie uwzględniającą wielu istotnych szczegółów Gdybym praktycznie nie spotkał tego problemu to...

    Wnętrza urządzeń   05 Mar 2023 16:32 Odpowiedzi: 28    Wyświetleń: 7998
  • Minimalna częstotliwość próbkowania i podobne :)

    Kolega 2 piętra wyżej wymyślił liniowy prawie podwajacz częstotliwości ;) liniowe dodawanie sygnałów sinusoidalnych nie wytwarza składowych o innych częstotliwościach. Gdyby tak było to audiofile by się zapłakali słysząc produkty sum składowych sinusoidalnych sygnałów muzycznych ;) To dopiero nieliniowości powodują pojawianie się nieistniejących w sygnale...

    Początkujący Elektronicy   30 Paź 2007 01:41 Odpowiedzi: 5    Wyświetleń: 4996
  • Test modułu wzmacniacza klasy D PAM8403 5V 2x3W - hit czy kit?

    Wzmacniacz PAM8403 wg opisu na portalu aukcyjnym pracuje w klasie D, co sugeruje oszczędność energii, natomiast zasilanie 5V i moc 2x3W umożliwia zasilanie z np. powerbanku. Moduły znajdziecie wyszukując w sklepach i portalach aukcyjnych frazę pobór prądu wzrósł do 132mA! Podłączenie rezystorów 4om skutkowało poborem prądu 152mA. Widocznie indukcyjność...

    Artykuły   20 Lip 2018 20:57 Odpowiedzi: 15    Wyświetleń: 10890
  • Unitra Diora Elizabeth Hi Fi - Stereo "nie zaskakuje" po czym samo się

    Co w takim razie zrobić z rozstrojoną p.cz. ??? Pytanie retoryczne :) Zestroić. Nie wiem ile jest wart co do dokładności i skali mierzonego sygnału ten wychył w Elizabeth. Bo na pewno nie ma to takiej rozpiętości działania jak np blok pomiaru poziomu sygnału w UL1200. Gdybym ja miał ten tuner to bym zostawił obudowę a do środka wstawił UL1200 i UL1621...

    Audio Początkujący   21 Sie 2015 20:42 Odpowiedzi: 26    Wyświetleń: 6108
  • Generator arbitralny Rigol DG1062Z - Recenzja

    Witam szanownych kolegów Nieadwno kupiłem generator RIGOL DG1062Z. Dość długo zastanawiałem się nad wyborem generatora, wahałem się między tym a droższym Rigolem DG4000. Niestety w sieci nie znalazłem zbyt wiele na temat DG1062Z w tym na eevblog-u), może dlatego że jest to dość nowy produkt. Postanowiłem dla potomnych i dla ludzi którzy będą mieli podobny...

    Artykuły   02 Kwi 2018 22:23 Odpowiedzi: 22    Wyświetleń: 5277
  • Falownik 3-fazowy

    Witam, sa dwie możliwości. 1. sterownik procesorowy z modulowaniem sinusoidy, dość skomplikowane i potrzebny program. Ale za to na wyjściu masz sinusoidę. 2. generator na układzie 555 z przerzutnikiem 74175, prost i tani układ sterujący. Ale na wyjsciu masz falę prostokatną. Jesli nie potrzebujesz sinusoidy to polecam 2 rozwiązanie. Sam wykonałem taki...

    Automatyka Falowniki   11 Maj 2005 06:25 Odpowiedzi: 1    Wyświetleń: 2763
  • [Kupię] Transformator do oscyloskopu PHILIPS PM3215

    Niestety nie mam żadnego trafo na sprzedaż. Mój się spalił po kilku godzinach pracy od momentu, jak stałem się posiadaczem tego oscyloskopu. Transformator został przewinięty. Niestety po uruchomieniu oscyloskopu na przewiniętym trafo mam problem. Oscyloskop ma na przebiegu nałożoną sinusoidę i moduluje każdy przebieg tą sinusoidą.

    Elementy elektroniczne Bazar   19 Cze 2013 08:41 Odpowiedzi: 4    Wyświetleń: 2088
  • Modulator AM potrzebny sprawdzony schemat

    Witam. Chciałbym zrobić modulator AM. W sensie podaje przebieg sinusoidalny na wejście i moduluje go innym przebiegiem sinusoidalnym. Prosiłbym o jakiś sprawdzony schemat. Pozdrawiam.

    Początkujący Elektronicy   31 Sty 2017 18:13 Odpowiedzi: 3    Wyświetleń: 585
  • Analiza i zarządzanie wpływem jitteru i szumu zasilania na szum fazowy DACa

    Spośród wszystkich własności i czynników wpływających na działanie układu elektronicznego szum jest jednym z najtrudniejszych do uchwycenia i opisania. Wyzwanie to jest powodem powstawania szeregu różnych metod oraz technik prototypowania układów scalonych. W poniższym artykule spróbujemy opisać szum fazowy i to jak wpływa on na szybkie przetworniki...

    Artykuły   18 Maj 2017 10:40 Odpowiedzi: 0    Wyświetleń: 3231
  • Garść praktycznych porad dla początkujących 3

    Multimetr Elektronik bez multimetru jest jak zakonnica bez habitu. Jest to bowiem podstawowe narzędzie pomiarowo-diagnostyczne i jego posiadanie jest po prostu niezbędne. Ale o ile zakonnica wie, co będzie nosić, to początkujący elektronik może się zgubić w gąszczu dostępnych typów, marek i modeli. Łatwo dać się skusić niską ceną i w zamian dostać...

    Poradniki Elektronika   29 Lut 2024 13:51 Odpowiedzi: 167    Wyświetleń: 9963
  • pomiar przepływu krwi- przepływomierz impulsowy

    s&h to jest uklad probkujaco pamietajacy. czemu sluzy tu demodulator? "usuwa" bramkowanie i daje ciagla sinusoide? na jakiej podstawie da sie w tym ukladzie odzyskac informacje o przesunieciu fazy? czy demodulator poprosty "odcina" te modulowane prostokatem paczki i "przesuwa" je do "poczatku ukladu wspolrzednych" czli za nim jest zwykla sinusoida,...

    Początkujący Elektronicy   24 Mar 2007 12:20 Odpowiedzi: 14    Wyświetleń: 5243
  • Przyrządy pomiarowe PRACITRONIC

    Dzięki za odpowiedz. ..... GF73 to generator funkcji z modulacją AM o zakresie 200-2100000Hz i całkiem dokładnym dzielniku. Generator generuje ładną kształtną sinusoidę. .... Zgadza się, sinusoida jest bez zarzutu, sprawdziłem organoleptycznie na oscyloskopie, jednak nie ma tam nawet śladu modulacji AM !!!!. Dodatkowo, dokonałem kilku pomiarów wewnątrz...

    Inne Szukam   11 Gru 2023 14:53 Odpowiedzi: 14    Wyświetleń: 6881
  • [VHDL] Implementacja sprzętowa PWM - symulacja

    Witam Muszę zasymulować modulator pwm. Sygnał nośny piła, sygnał modulujący sinusoida. Przygotowałem coś takiego: CLK1 podawane jest na licznik INST2. Licznik liczy w górę. Wyjście z tego licznika to piła (wartość rośnie po czym gwałtownie spada i znów rośnie) która jest podawana na komparator. CLK2 podawane jest na licznik, którego wyjście to dane...

    Programowalne układy logiczne   02 Lut 2011 18:48 Odpowiedzi: 2    Wyświetleń: 2090
  • Układ sterowania lampą sodową

    Witam, chciałbym zaprojektować układ sterujący wysokoprężną lampą sodową. Trochę mało znalazłem na ten temat informacji w sieci, dla tego chciałbym zapytać tutaj, wśród ekspertów. Zaznaczam, że temat zaklasyfikowałem do tego działu, bo może być ciekawy dla osób początkujących, a sam nie jestem mocny z analogówki, więc w tym kontekście jestem "przedszkolakiem"...

    Początkujący Elektronicy   05 Lut 2012 12:36 Odpowiedzi: 8    Wyświetleń: 4033
  • Czy da się zmienić napięcie przetwornicy z 110V na 230V?

    Kolega posiada oscyloskop ? Można by sprawdzić przebiegi sygnału sterującego i sprawdzić jakie jest wypełnienie. Czysty sinus uzyskuje się poprzez sygnał PWM modulowany sinusem. Potem po "drugiej stronie" się go odfiltrowuje. I teraz jeśli transformator jest "uniwersalny" dla 115/230V to w zasadzie różnica polega na wypełnieniu. Dla 230V wypełnienia...

    Początkujący Elektronicy   16 Sie 2020 03:32 Odpowiedzi: 23    Wyświetleń: 2571
  • Dlaczego mimo ustawienia 45 stopni kocioł Vaillant VC 246 grzeje do 48°?

    A co sądzisz o zaworach termostatycznych gdybym chciał założyć? Ja jestem zwolennikiem posiadania zaworów termostatycznych z tzw. regulacją wstępną, czyli na zaworze możesz ustawić maksymalny przepływ wody w celu regulacji hydraulicznej instalacji. Ale mając głowice to musisz pamiętać, że czas reakcji typowej głowicy to ok. 25 minut, więc nie jest...

    Systemy Grzewcze Użytkowy   11 Paź 2021 15:18 Odpowiedzi: 33    Wyświetleń: 5448
  • Optymalizacja torów zasilania - część 4

    W Optymalizacja systemu zasilania dla podwójnego nadajnika-odbiornika RF ADRV9009 6 GHz ADRV9009 to wysoce zintegrowany elastyczny transceiver radiowy (RF) oferujący podwójne nadajniki i odbiorniki, zintegrowane syntezatory i funkcje cyfrowego przetwarzania sygnałów. Układ ten zapewnia wszechstronne połączenie wysokiej wydajności i niskie zużycie energii...

    Artykuły   30 Gru 2021 17:28 Odpowiedzi: 1    Wyświetleń: 885
  • Jaki będzie najlepszy zamiennik do mrf 477?

    nie modulowane sa kolektory stopni koncowych mocy, a nawet stopni poprzedzajacych w ten sposob nieda sie uzyskac w pelni liniowej modulacji AM modulacja odbywa sie jedynie w mieszaczu iwogóle to nieciekawie to wyglada na oscyloskopie przy zmodulowaniu sinusem. w stacjnarnym korzystaniu z Lincolna polecam skorzystac z OPA549 w jego normalnej katalogowej...

    Radiotechnika Serwis   02 Lip 2008 14:06 Odpowiedzi: 42    Wyświetleń: 16600
  • VCO w ukladzie Colpittsa

    Witam. Pozwole sobie na kilka wyjasnien do wczesniej poruszonych kwestii Skąd Ty ten post wyciągnąłeś? :) Przecież niedługo po "opublikowaniu" go usunąłem... :) Jesli wezmiesz w rece idealna sinusoide i ja wygniesz w jakims miejscu, to w obrazie spektralnym tak odksztalconego sygnalu w miejsce pojedynczego prazka (f = Fo) pojawi sie cos w ksztalcie...

    Początkujący Elektronicy   08 Cze 2007 19:25 Odpowiedzi: 45    Wyświetleń: 8213
  • Przekształtnik sieciowy AC/DC na dsPIC30f6010A

    Dzięki za opis i schematy. Nadal jednak nie kumam jednej rzeczy. Za mostkiem jest kondensator naładowany do jakiejś wartości napięcia (utrzymywana jakaś stała wartość). Jeśli załączasz parę kluczy, to wg mojego rozumienia prąd do urządzenia popłynie gdy wartość napięcia sieci przekracza wartość napięcia na kondensatorze, co przeważnie przypada gdzieś...

    DIY Konstrukcje   31 Paź 2012 17:26 Odpowiedzi: 23    Wyświetleń: 15588
  • Szerokość pasma - co to znaczy w odniesieniu do sygnału

    1-jesli weżmie się szerokość pasma 80MHz w odniesieniu do f=5000 MHz to widać ,że na osi częstotliwości takie pasmo będzie znikome -8:500=0,01... 2-jakaś częstotliwość z tego pasma może się zmieniać tylko w tym zakresie ... 3-może fachowcy poszli dalej i w tym wąskim paśmie ulokowano dużo częstotliwości modulowanych fazowo. Są już detektory fazy sygnału...

    Początkujący Elektronicy   13 Lis 2015 17:50 Odpowiedzi: 12    Wyświetleń: 5535
  • Szerokość pasma czyli dlaczego się tworzą wstęgi boczne w modulacji AM

    Pytanie dodatkowe, co z szerokością pasma w modulacji FM? Przecież zmiana częstotliwości fali nośnej zależy od amplitudy sygnału modulującego a nie od od jego częstotliwości. Przyznaję, że mnie też sprawiało trudność ułożenie sobie w wyobraźni zjawisk towarzyszących modulacji. Myślę, że łatwiej wytłumaczyć pojawienie się wstęg bocznych na przykładzie...

    Początkujący Elektronicy   25 Wrz 2016 00:10 Odpowiedzi: 6    Wyświetleń: 2730
  • Beretta Ciao II (nie startuje CO na przetwornicy) - ciągła czerwona dioda

    Dzień dobry, Mam kocioł gazowy Beretta Ciao II - od pewnego czasu marzy mi się zabezpieczenie go przed awarią zasilania poprzez przetwornicę. Nie interesuje mnie ciągła ochrona tylko coś co będę mógł trzymać w innym pomieszczeniu I awaryjnie pociągnąć przedłużaczem, uruchomić np na godzinę więc wybór padł na przetwornicę z zewnętrznym akumulatorem (choć...

    Systemy Grzewcze Użytkowy   03 Mar 2021 10:04 Odpowiedzi: 1    Wyświetleń: 759
  • Własny mikrofon z wkładką dynamiczną 700 Ohm - niesymetryczność ścieżki audio w programie

    Ulegliście głupkowatej modzie modulowania od deski do deski, stąd przebieg pikiem dodatnim i ujemnym według dzisiejszego chorego świata musi dobić do punktu maksymalnej amplitudy (a nie że będzie margines do punktu odcięcia toru np jeszcze 30%). Piki po obu stronach osi X według chorej ideologii muszą obowiązkowo w bólu dobić symetrycznie do 0dB, a...

    Audio Początkujący   28 Paź 2022 12:17 Odpowiedzi: 11    Wyświetleń: 798
  • Sterowanie obrotów silnika 3 fazowego? jak?

    Raczej sie to nie nadaje, bo: zasilanie jest niesymetryczne +Ucc i GND No a do tego sterowanie bedzie bez PWM, czyli na wyjściu będą prostokąty o amplitudzie Ucc i stałej szerokości zależnej od taktu zegara(przy stałej częstoliwości zegara) zamiast modulowanej sinusoidy. pozdrawiam

    Elektro Maszyny i Urządzenia   24 Mar 2006 14:00 Odpowiedzi: 13    Wyświetleń: 9490
  • Wykorzystanie ADuC7026 do sterowania przetwornicą (PWM).

    Witam, pytanie dotyczy ADuC7026 BSTZ62 na ARM7TDMI. Przetwornica to DC/DC. ADuC'a chce wykorzystac do pogonienia polmostka. Musze miec mozliwosc sterowania wypelnieniem sygnalu. Sygnal na tranzystor dolny oraz ten podawany na tranzystor gorny musza miec takie samo wypelnienie (to chyba oczywiste). Rejetrem odpowiedzialnym za wypelnienie (duty cycle)...

    Mikrokontrolery ARM   20 Lut 2009 11:35 Odpowiedzi: 0    Wyświetleń: 1001
  • Sterownik PWM w technologii TTL

    Cyfrowy PWM można zrobić tak: - bierzesz licznik, który będzie cały czas liczył w górę ze stałą prędkością, np 4029 w roli licznika, a 4521 lub 4060 jako generator sygnału. Będzie to cyfrowy odpowiednik trójkątnego (de facto piłokształtnego) sygnału nośnego w technice analogowej - jako odpowiednik sygnału modulowanego weź np. nastawnik stanów logicznych,...

    Początkujący Naprawy   10 Cze 2012 21:26 Odpowiedzi: 6    Wyświetleń: 2504
  • Zasilacz impulsowy - 14,5V 0,4A robi zwarcie

    Po ok. minucie pracy tranzystor strzelił. Trzeba było oglądać napięcia na tranzystorze, nie wiem czy masz transformator separujący żeby nie było bum po podłączeniu masy oscyloskopu Na oscylogramie nie widać żadnych szpilek napięcia. To jest obraz ze strony wtórnej (w celu uniknięcia stosowania dzielnika). Po stronie wtórnej żadnych szpilek nie będzie,...

    Początkujący Elektronicy   28 Sie 2015 20:19 Odpowiedzi: 36    Wyświetleń: 4704
  • Test modułu wzmacniacza klasy D PAM8610 15V 2x10W

    Prosze. Wystarczylo na wyjsciach dodac proste filtry RC zeby ukazal sie przebieg audio. Funkcja matematyczna oscyloskopu zlozyla dwa przebiegi i mamy sinusoide. Koncowka pracuje w mostku a wiec nie powinno sie dolaczac masy oscyloskopu do jej wyjscia. Jest to mozliwe jesli masa oscyloskopu/generatora nie sa ze soba polaczone np uziemieniem. Ale otrzymany...

    Artykuły   14 Lis 2018 20:21 Odpowiedzi: 34    Wyświetleń: 12816
  • Viessmann Vitodens 111 - częste włączanie/wyłączanie przy niskiej temp. zasilania

    czy lepiej ustawić max. moc grzewczą kotła na c.o. powiedzmy na 25% żeby długo rozgrzewał instalację czy np. na 30-50% żeby w pierwszej fazie szybko podgonił temp. a później żeby modulował po osiągnięciu zadanej temp? Co do założenia to jeśli masz kocioł dobrany mocą to lepiej jest szybciej podgrzać wodę do temperatury roboczej, a potem ja tylko utrzymywać....

    Systemy Grzewcze Użytkowy   25 Gru 2018 10:57 Odpowiedzi: 7    Wyświetleń: 5475
  • Czujnik położenia wałka rozrządu Opel Astra

    Oscykoskopem.Czujnik jest zasilany z ECU sygnałem sinusoidalnym o częstotliwości 666hz,jeżi sapali się silnik-sygnał ten jest modulowany.

    Samochody Elektryka i elektronika   02 Mar 2006 06:34 Odpowiedzi: 7    Wyświetleń: 13456
  • Nadajnik Szaflika z syntezą PLL [MaX 8W]

    mpx-fm - widzę, że uparłeś się na integrację "PLL+VCO" w jednej kości :-) i inne metody cyfrowe. Zapewne z czasem będzie to standardem jednak teraz wiąże się to z pokonaniem kilku barier.... ADF4360-8 - ciekawa kość i skłaniałbym się w jej kierunku może tylko dlatego, że własne konstrukcje opieram o PLL-e z serii ... ADF4xxx :-) i nie tylko. Stosując...

    DIY Poczekalnia   10 Lis 2016 01:10 Odpowiedzi: 790    Wyświetleń: 204521
  • Różnica pomiędzy syntezą PLL a DDS

    Znalazłem coś takiego: Właściwości układów generacyjnych DDS (z bezp. syntezą cyfrową): 􀂃 bardzo krótki czas ustalania częstotliwości (< Twy) 􀂃 potencjalnie bardzo dobra czystość widmowa (w sensie wąskopasmowym), 􀂃 możliwość generacji sygnałów okresowych niesinusoidalnych (w węższym zakresie częstotliwości), 􀂃...

    Radiotechnika Serwis   01 Lut 2010 11:09 Odpowiedzi: 24    Wyświetleń: 15243
  • Przetwornik piezoelektryczny - sterowanie

    Witam Chcę napędzieć dość spory przetwornik, taki jak w wanienkach ultradźwiękowych ale szukam rozwiązania sterowniania nimi nie wymagającego dławików i przetwornic. Jak wiadomo w wanience jest przetwornica podwyższająca troszkę napięcie i dławik generujący impuls 50..80V (?). Skoro przetwornik piezo wymaga takich krótkich impulsów lub sinusa o takim...

    Projektowanie Układów   02 Lut 2008 23:06 Odpowiedzi: 3    Wyświetleń: 2660
  • Regulacja obrotów wentylatora 230VAC

    Podłączyłem jeden silnik jednofazowy z kondensatorem i drugi bez kondensatora po przetwornicę do samochodu 12DC/230VAC modulowany sinus. Silniki kręcą się trochę wolniej niż zasilane normalnie z sieci i dodatkowo słychać jak grają uzwojenia. Myślę że jak by zastosować jakiś filtr to by pomogło. A teraz taka myśl. Jak by zastosować generator funkcyjny...

    Elektro Maszyny i Urządzenia   28 Cze 2011 21:37 Odpowiedzi: 40    Wyświetleń: 17457
  • Czy warto inwestować w UPSa? Jeśli tak to co wybrać?

    Hmm... Tylko, że ten model ma kształt napięcia wyjściowego: modulowany sinus. Jaka jest pewność, że to się nie pogryzie z zasilaczem APFC? Druga sprawa, że jakoś nie darzę zaufaniem firmy Ever. Jakkolwiek cena za DUO II Pro 1000 jest bardzo atrakcyjna.

    Komputery Co kupić?   04 Paź 2010 19:45 Odpowiedzi: 16    Wyświetleń: 1794