REKLAMA

sonda pomiaru czestotliwosci

Znaleziono około 30 wyników dla: sonda pomiaru czestotliwosci
  • program - sonda do pomiaru czestotliwosci

    Witam Czy ktoś z Was posiada moze program do "mikroprocesorowej sondy do pomiaru częstotliwości" lub potrafi cos takiego napisac? Jestem niestety w tym temacie troche "niedoswiadczony" :roll: a program jest mi potrzebny. W zalaczniku znajduje sie algorytm programu. Dzieki za wszelka pomoc

    Mikrokontrolery   20 Lut 2004 13:05 Odpowiedzi: 7    Wyświetleń: 2004
  • CEM DT9935 - niestabilne wyniki pomiarów pojemności kondensatorów przy różnych częstotliwościach

    Dzień dobry, kilka miesięcy temu zakupiłem miernik jak w temacie. Przemierzyłem i porównałem nim już sporo elementów - w wielu przypadkach miernik pomógł bardzo i dał jasną informację odnośnie sprawności samego elementu. (głównie mierzone były kondensatory) Jednak odnoszę wrażenie, ze czasem pomiary są problematyczne a wręcz nie są powtarzalne dla danego...

    Warsztat elektronika   24 Cze 2022 10:23 Odpowiedzi: 14    Wyświetleń: 597
  • Sonda różnicowa +/-450V 20MHz do oscyloskopu

    Wg mnie ta sonda jest najlepsza z tych ktore kolega pokazal i tak. Moze nie jest izolowana. Ale ze wzgledu ze jest roznicowa to nie powinno byc problemow z pomiarem nieizolowanych napiec sieciowych. Wiekszosc komercyjnych sond tyvh za 2-3tys zł to tez sondy bez izolacji galwanicznej. Maja tylko lepsza pasmo. Ale myslac o typowych ukladach sieciowych...

    DIY Konstrukcje   17 Lut 2019 15:20 Odpowiedzi: 11    Wyświetleń: 4617
  • REKLAMA
  • jak wykonac pomiar 4 kablowa sonda

    jezeli chodzi o rozruch silnika to sonda lambda nie ma wplywu na rozruch-sterownik zaczyna "czytac" z sodny dopiero po jakims czasie-chodzi tu o czas rozgrzania sie sondy-oczywiscie inny jest on dla sond z grzalka a inny bez grzałki!!jezeli chodzi o pozimy napiec to oscyluja w granicy 0.1-1.0 volta w tradycyjnych sondach!!wystepuja rowniez inne sondy(np...

    Samochody Elektryka i elektronika   03 Kwi 2012 17:22 Odpowiedzi: 78    Wyświetleń: 39890
  • System zdalnego pomiaru. Szukam przykładowego opisu.

    Witam, ale napisz dokładniej o co chodzi. Temat jak rzeka, jakie sygnały maz mierzyć, co przesyłać (czy sam sygnał z jakiegoś czujnika, sondy, itp, czy juz wynik jakiegos przetworzenia). Generalnie jesli mierzy cos sie zdalnie, to jesli sygnal pomiarowy jest słaby to nie ciągnie sie go zbyt dalego, aby ustrzec sie problemów z zakłoceniami, ktore moga...

    Początkujący Elektronicy   03 Mar 2005 19:44 Odpowiedzi: 2    Wyświetleń: 975
  • REKLAMA
  • dokładny pomiar częstotliwości

    Witajcie, Temat mierników (a w szczególności multimetrów) przewijał się przez Elektrodę wielokrotnie, ale nie znalazłem satysfakcjonującej mnie odpowiedzi na pytanie: czym dokładnie mierzyć częstotliwość i nie wydać na sprzęt więcej niż powiedzmy 300zł? Ze względu na cenę odpadają więc mierniki laboratoryjne, oscyloskopy itp. I teraz: szukać raczej...

    Warsztat elektronika   31 Paź 2014 09:37 Odpowiedzi: 3    Wyświetleń: 2199
  • V640 sonda wcz i sondy do HAMEGA

    czasami w niedziele na "wolumenie" w Warszawie ludzie posiadaja osprzet do V-640 i za grosze mozna takowa sonde kupic.Maja tez temperaturowa, bocznik do pomiarów duzych pradów i sonde wysokiego napiecia oraz do sondy wysokiej czestotliwosci dzielnik 1:100. bo ta sonda wytrzymuje max 15V .V-640 to bardzo dobry przyrzad pomiarowy szkoda ze juz nie produkuja...

    Radiotechnika Serwis   30 Sty 2006 19:16 Odpowiedzi: 1    Wyświetleń: 1754
  • Miernik czestotliwosci --> nadaje sie ???

    Nie rozumiem na tej stronce masz wszystko co ci potrzeba wiedzieć o tym mierniku. DANE TECHNICZNE Częstotliwość wej. maksymalna 31,8MHz (Vpp 110mV) Częstotliwość wej. minimalna 4kHz (zależy od stopnia wejściowego) Rozdzielczość 100Hz Częstotliwość p.cz. +10,695MHz Ilość pomiarów na sekundę 2 Impedancja wejściowa sondy 1Mom Maksymalne napięcie zasilania...

    Inne Co kupić?   31 Maj 2007 16:41 Odpowiedzi: 6    Wyświetleń: 1881
  • Miernik Czestotliwosci do CB

    Niestety kolega Łukasz niema na razie kitów z tym miernikiem , wiec poszukiwania nadal trwają. Dodano po 12 Znalazłem gotowca jeden gość sprzedaje ale nie wiem czy to coś warte,wstawię tylko opis żeby reklamy nie robić.DANE TECHNICZNE: Częstotliwość wej. maksymalna 31,8MHz - OPCJA 62MHz, 200MHz lub 1GHz Rozdzielczość 100Hz - OPCJA 10Hz (wzorcowy generator...

    Radiotechnika Co kupić?   09 Kwi 2009 17:55 Odpowiedzi: 13    Wyświetleń: 5777
  • REKLAMA
  • Pytania do posiadaczy miernika UNI-T UT60E (i innych 60-tek)

    Nabylem nie tak dawno miernik UNI-T 60E i mam w zwiazku z tym dwa pytania do posiadaczy. Czy wasze mierniki przy ustawieniu pomiaru napiec stalych (przy zmiennych zreszta tez) i pozostawieniu sond w powietrzu pokazuja pewna plywajaca w pewnym zakresie ilosc mV (w porywach do +/- kilkudziesieciu)? Takie samo zjawisko zaobserwowalem przy pomiarach pojemnosci,...

    Warsztat elektronika   29 Mar 2007 17:49 Odpowiedzi: 3    Wyświetleń: 4595
  • Czy mogę tak zrobić? Dwa kondensatory zamiast jednego.

    po napisach i budowie jak jest tam rownolegle kondensator to jest sonda z ukladem calkujacym do pomiaru przebiegow niskich czestotliwosci.... a np obserwujesz przebieg sinus i jest w porzadku zas przy przebiegach o stromych zboczach i ostrych krawedziach trojkat prostokat przebiegi beda znieksztalcone i pozaokraglane jak dasz zla sonde .. ale jej jeszcze...

    Początkujący Serwisanci   05 Mar 2005 15:53 Odpowiedzi: 21    Wyświetleń: 3597
  • Jaki "Miernik" wybrać

    Ja moge powiedziec z wlasnego doswiadczenia, uzywam juz bardzo dlugo takich najtanszych miernikow elektronicznych i jestem zadowolony, ma prawie wszystko co jest niezbedne: pomiar pradu napiecia (stale/zmienne) rezystancji, test tranzystora i diody, buzzer do przedzwaniania i szukania zwarc. Raz cos zle podlaczylem upalilo 1/4 sondy to nie zal mi bylo...

    Warsztat co kupić?   11 Paź 2013 16:48 Odpowiedzi: 26    Wyświetleń: 8751
  • [Kupię] Praktyczny Elektronik zapłacę za pomoc

    Na płycie CD PE nr 2 są tzw. "programy wycofane", z których Redakcja PE "zrezygnowała" i je udostępniła. Była jeszcze o ile mnie pamięć nie myli trzecia płyta PE, ale nie wiem czy były na niej wsady do zestawów PE. Poniżej lista wsadów z CD PE nr 2. Być może nigdy wsad do tego zestawu nie został udostępniony przez Redakcję PE. ************************...

    Inne Bazar   07 Sty 2019 03:43 Odpowiedzi: 10    Wyświetleń: 1116
  • Czy ktoś posiada płyty z Praktycznego Elektronika?

    Na płycie nr 2 z "Praktycznego Elektronika" zostały zamieszczone tylko programy (wsady) do zestawów (kitów) wycofanych ze sprzedaży. Nie zostały zamieszczone wszystkie programy. Poniżej ich oryginalna lista z CD PE nr 2. ************************ (C) ARTKELE 2001 ****************************** * W tym katalogu znajduja sie zrodla do programow opublikowanych...

    Początkujący Elektronicy   31 Maj 2020 08:59 Odpowiedzi: 11    Wyświetleń: 1831
  • REKLAMA
  • Miernik konduktancji prośba o rzut okiem...

    No tak........ Rozumiem ze chcesz mierzyc element C wpiety do U1. Jak w temacie to miernik konduktancji nie impedancji. w zlacze BNC bedzie wpieta sonda platynowa do pomiaru konduktancji cieczy pojemnosci tej sondy nie uwzgledniam. Odrazu powiem ze to nie wypali. Lepszy by byl techniczny pomiar impedancji. Tz. Generator przed opampem wpiety na stala...

    Projektowanie Układów   12 Wrz 2007 17:31 Odpowiedzi: 5    Wyświetleń: 2932
  • poszukuje kompletnego schematu nadajnika FM 88-108 Mhz

    Gdyby kogos interesowalo, to wlasnie jestem na etapie konstruowania taniego miernika czestotliwosci. Max. zakres mierzenia to 1,2 GHz. Teoretycznie minimalny to 10 Hz, jednak sama konstrukcja przewidziana do pomiaru od powiedzmy 50 KHz. Dokladnosc pomiaru do 50MHz to 10Hz a od 30MHz do 1,2GHz, to okolo 0,5 KHz. Calkowity koszt wykonania urzadzenia nie...

    Radiotechnika Serwis   23 Paź 2003 07:17 Odpowiedzi: 25    Wyświetleń: 5275
  • Liebherr CUNesf 3933 Comfort i spalony falownik Embraco VES 2456 05 F00

    Sam bym chciał wiedzieć, czym różnią się poszczególne falowniki? Hymmm -> - budową - typem kompresora - zakresem częstotliwości pracy - sposobem sterowania stop / start i regulacją obrotów silnika sprężarki Aleś zaszalał... prawić truizmy (tak to mogą ocenić ci co wiedzą o co chodzi), każdy może. Jeden lepiej drugi gorzej... Wg. mnie budowa falowników...

    AGD Początkujący   10 Gru 2023 14:54 Odpowiedzi: 34    Wyświetleń: 1080
  • dlaczego komputer nie wykrywa 89c5131

    wyniki pomiarów: przez resetem na VREF = 3,25 V po teoretycznym włączeniu reset dokładnie to samo. Wiem ze badanie napiecia na liniach D- oraz D+ nie ma sensu ale tez pomierzyłem D - = ok 2,5 mV D+ = 3,25V i nic sie nie zmienia po teoretycznym wprowadzeniu do stanu booloadingu Wiem wiem ze to bez sensu bo tam jest teoretycznie sygnał o duzej czestotliwosci....

    Mikrokontrolery   02 Lip 2010 20:43 Odpowiedzi: 17    Wyświetleń: 2288
  • Miernik częstotliwości do przestrajania odbiorników z OIRT na CCIR

    J-201 posiada pomiar według danych od 0,01Hz do 50MHz. Trochę za mało do przestrajania. 250zł przekracza mój budżet, nie potrzebuję tak szerokiego zakresu pomiaru. Zależy mi właśnie bardzo na czymś z sondą. Czy może ktoś się odnieść do miernika z tego linku: ? Czy może on współpracować z sondą?

    Warsztat co kupić?   18 Paź 2019 10:21 Odpowiedzi: 38    Wyświetleń: 9186
  • Skaner ze Świata Radio raz jeszcze.

    Czesc! Kwestia tez tego, gdzie mieszkasz. Naprawde okazuje sie, ze nawet sprawny odbiornik, jesli nie wiesz gdzie sluchac i kiedy - okazuje sie nic nie slyszacym zlomem. Sprobuj zestroic go wg wskazan autora - jesli masz oryginalne filtry zalozone to nawet niezestrojenie drugiej heterodyny (czyli tej cewki przy TSA) nei bedzie wplywalo na odbior. Zamiast...

    Radiotechnika Serwis   04 Sie 2016 12:11 Odpowiedzi: 400    Wyświetleń: 87791
  • Rejestrator napięć na ARM + dotykowy LCD. Diagnostyka

    ..... Z drugiej strony walory uzytkowe raczej kiepskie bo o ile rozumiem uklad ma tylko dwa wejscia analogowe i nic poza tym czyli jest po prostu oscyloskopem z czestotliwoscia probkowania do 400kHz. Ilosc czujnikow jaka jest w samochodzie wymaga conajmniej kilku wejsc analogowych i kilku cyfrowych (PWM-y) zbierane w jednej chwili i tylko takie informacje...

    DIY Konstrukcje   13 Mar 2011 13:55 Odpowiedzi: 73    Wyświetleń: 40395
  • Liniowość poziomu sygnału z dds

    Witam. ... czy ktoś z was wie jak prawidłowo ma wyglądać sygnał z DDS AD9851 z zegarem 24mhz x 6? Prawidlowo ... to powinien wychodzic czysciutki sinus . Aby tak bylo, nalezy bezwzglednie na wyjsciu DDS dodac filtr wyjsciowy LP, tzw. anti-aliasing, ktory skutecznie potlumi wszelkie produkty nieporzadane, tzw. "images". Ze wzgledow praktycznych (realizowalnosci...

    Projektowanie Układów   11 Lis 2009 22:01 Odpowiedzi: 2    Wyświetleń: 1621
  • Szukam instrukcji wykrywacza metali Elektronik System Explorer 2002

    Poniezej miejsca które zaznaczyłes powinienes zmierzyc jeszcze minus 9V, na głownej płytce musza wystepowac te dwa napiecia plus, minus 9V mierzone wzgledem masy, patrz na materiałe który podesłałem. Na cewkach nie zmierzysz napiecia stałego, tylko pomiar oscyloskopem zgodnie ze schematem miedzy 1 a 5 pinem UL1111 masz otrzymac sinus o czestotliwosci...

    Inne Szukam   09 Sty 2020 03:48 Odpowiedzi: 7    Wyświetleń: 3084
  • Oscyloskop WAVE2 - młodszy brat DSO150

    Sonda z zestawu w specyfikacji jest do 600vdc. Dzisiaj go złożę i sprawdze😉 PS. Sprzęt złożony i pobierznie testowany. Sam oscyloskop dziala powiedzmy poprawnie. Zakres korekt co prawda jest dość ubogi ale działa. Jedynie odświeżanie obrazu mogło by być większe. Porównywalne że stacjonarnym oscyloskopem i zadziwiająco dobrze odzwierciedla wartości...

    Artykuły   30 Kwi 2021 10:16 Odpowiedzi: 24    Wyświetleń: 7620
  • Miernik częstotliwości na PIC 16F84 z wyświetlaczem LCD.

    Witam Robilem kiedys piloty do alarmow i pomiar czestotliwosci a wlasciwie strojenie rozwiazalem inaczej.Pomiar musi byc BEZSTYKOWY bo kazde dolaczenie sondy bedzie rozstrajac generator nadajnika. Wykorzystywalem do tego telewizor Grundig cos tam.Mial fajna glowice z synteza.Znalazlem na ktorym mniej wiecej kanale znajduje sie te 433Mhz,potem nadajniczek...

    Projektowanie Układów   11 Lip 2017 19:14 Odpowiedzi: 34    Wyświetleń: 45595
  • Przetwornik c/a na generatorze PWM

    Jak dobrac filtr dolno-przepustowy dla pwm? Czy wystarczy jeden rezystor w szereg i kondensator do masy? Jezeli tak to jak wyliczyc wartosci. Np. pwm o czestotliwosci 1-2kHz chyba ze lepsza bylaby inna wartosc. Nie potrzebuje super szybkiej reakcji bo to do wyjscia 4-20mA pomiaru pojemnosci sondy w zbiorniku z amoniakiem (pomiar poziomu)

    Projektowanie Układów   03 Mar 2005 08:43 Odpowiedzi: 3    Wyświetleń: 2129
  • Wykrywacz metali 5KHz MOS98

    Zacznij od zbadania przebiegu generatora zbudowanego na 1111, który jednoczesnie podawany jest na przesuwnik fazy i dzielnik 4017. Amplituda generatora powinna wynosić około 40Vpp, przebieg sinusoida i czestotliwosci około 5kHz. Kolejny pomiar na wyjsciu przedwzmacniacza 741 6pin to pomiar sygnału indukującego sie w cewce odbiorczej i jezeli sonda oddalona...

    Inne Serwis   08 Mar 2011 14:30 Odpowiedzi: 4    Wyświetleń: 6233
  • Kit AVT 2133 - brak odbioru stacji.

    Po złozeniu sondy w postaci 4 zwoje drutu o srednicy 1cm i diody aap153 miernik pokazał ~27- 35 mV w poblizu ul1202 i dławików. Miernika czestotliwosci niestety nie posiadam. Miernik pojemnosci mam, ale mierzy od 1nF- 10000uF. Mozna by połaczyc je(zmiennny i stały 1nF) zeby zeby dawały 1-1,2nF ale mi sie zdaje ze taki pomiar nie bedzie miał sensu.

    Radiotechnika Początkujący   10 Sie 2010 23:54 Odpowiedzi: 30    Wyświetleń: 12079
  • Przyrząd pokładowy dla paralotniarza -- Wariometr EAP-R2.1

    (at)EBC41 tjaaa zgadza sie SP6VGX Ja mysle ze nie ma co przesadzac z tymi pomiarami, generalnie przy takim ukladzie wykonanie plytki zgodnie z zaleceniami ST odnosnie EMC/EMI i tyle.Tutaj nie ma jakis szybkich magistral na wyjsciu, a procek z tego co wyszlo sam sieje wiecej niz ta PCB ;) (sprawa z GPS-em). Do tego zminimalizowac dlugosc sciezek co by...

    DIY Konstrukcje   18 Kwi 2013 22:04 Odpowiedzi: 38    Wyświetleń: 19422
  • Yamaha Tyros - Nie działa LCD

    mam na oku drozszy miernik, ktory mierzy tez pojemnosc kondensatorow (do okreslonej pojemnosci), ma opcje min-max, wykrywa czestotliwosci do 20 MHz. Chce go kupic na przyszlosc, bo widze, ze to, co mam, to tylko podstawowe rzeczy, jak przejsci, opory i podstawowe DC. Czy takim drozszym miernikiem (sredniej jakosci, gdziestam znalazlem jakis temat z...

    Inne Serwis   29 Cze 2016 12:33 Odpowiedzi: 21    Wyświetleń: 3501