REKLAMA

sterowanie silnika oscyloskop

Znaleziono około 742 wyników dla: sterowanie silnika oscyloskop
  • Problem z enkoderem i silnikiem krokowym

    Impulsowe sterowanie uzwojeniami silnika. Masz oscyloskop? Tak wygląda w przybliżeniu prąd na uzwojeniu: Jest tam kilka harmonicznych które słyszysz ponieważ podstawowa częstotliwość sterowania zwykle jest ok 20-50kHz.

    Automatyka Przemysłowa   10 Lis 2018 20:49 Odpowiedzi: 15    Wyświetleń: 1866
  • REKLAMA
  • Sterowanie silnikiem krokowym poprzez sterownik drv8824

    Witam, mam problem ze sterownikiem opartym na układzie drv8824 a chodzi konkretnie o taki sterownik . Do sterownika doprowadzono napięcie 35V VMOT z przetwornicy, zmierzyłem oscyloskopem żeby mieć pewność że tyle jest. Na pinie !FLT, który nie jest do niczego podłączony, daje się odczytać stan wysoki czyli wszystko ok, piny GND podłączone do masy, !EN...

    Automatyka Przemysłowa   21 Sie 2017 13:09 Odpowiedzi: 4    Wyświetleń: 1101
  • Jak zmierzyć częstotliwość pracującego silnika krokowego oscyloskopem !?

    Witam, robię z gościem sterownik silnika krokowego i on prosi mnie bym sprawdził obecną częstotliwość silnika by na jej podstawie mógł wyliczyć dalsze parametry... Chce bym to zrobił oscyloskopem i tu pojawia się problem bo różne informacje na temat częstotliwości dostaje i nie wiem które są istotne. Szydzi ze mnie że nie umiem zrobić prostego pomiaru...

    Początkujący Elektronicy   16 Paź 2017 20:04 Odpowiedzi: 0    Wyświetleń: 420
  • oscyloskop i minimag

    Przepraszam a jakie przebiegi chcesz badać przecież minimagi mają regulację prądu za pomocą przełączników a prostownik na mostku diodowym. Do układu sterowania (płytki ) buduje się tester (odpowiednik spawarki czyli start elektrozawór silnik potencjometry i przełączniki rodzaju pracy) zamiast elektrozaworu silnika i stycznika używa się żarówek 24V(...

    Elektro Maszyny i Urządzenia   08 Cze 2004 22:06 Odpowiedzi: 3    Wyświetleń: 1593
  • AVR ATmega32 Problem - ATMega 32A Sterowanie potencjometrem silnika krokowego

    Na pierwszy strzał wymieniłbym ten potencjometr montażowy skatowany ogromnym wkrętakiem na dobry osiowy Wymieniony na Telpod 4,7k Czy Twój sterownik ma regulację prądu na silnik? Tak najpierw sprawdzam, czy sterownik prawidłowo steruje. No wydaje mi się, że dobrze steruje dlatego, że obraca się prawidłowo tylko gubi kroki. Nie mogę sprawdzić przebiegu...

    Mikrokontrolery   01 Mar 2015 01:17 Odpowiedzi: 11    Wyświetleń: 3198
  • REKLAMA
  • seat toledo 2 1.6 sr silnik to "AKL" 2000r. brak iskry

    elektryk samochodowy też sprawdzał Chyba witką z brzozy... Zmień elektryka. Ten chyba nie samochodowy. Zasilanie cewki sprawdzasz żarówką, sygnały sterujące np diodą świecącą lub oscyloskopem. Dodatkowo diagnostyka komputerowa i popatrzeć przynajmniej w błędy i parametry. Kod silnika byś podał, byłoby łatwiej podpowiadać.

    Samochody Początkujący   27 Lut 2017 22:30 Odpowiedzi: 15    Wyświetleń: 3054
  • [STM32] Algorytm sterowania mikrokontrolerem silnika indukcyjnego 3 fazowego

    Witam, Niedawno zmontowałem mój układ falownika. Do sterowania tranzystorami wykorzystałem układ IRS23364 - układ drivera mostka trójfazowego z deadtime, ograniczeniem prądowym z wbudowanym komparatorem itd... Wykonałem układ obwodu mocy jak na schemacie poniżej. Dodatkowo przewidziałem miejsce na dwa przetworniki LEM LTS 6-NP, żeby w przyszłości zrealizować...

    Mikrokontrolery ARM   21 Kwi 2018 10:37 Odpowiedzi: 15    Wyświetleń: 3252
  • GOLF VII - Wentylator działający non-stop, podniesione obroty silnika, błąd VCDS

    SB4 bezpiecznik 5A w komorze silnika Dzięki! Schemat się zgadza. Dziś znalazłem inny ale pin silnika opisany jako 71. Miałem pisać że naszukalem się "po kolorach" i trafiłem. Pierwsza diagnoza przerwany przewód. Stale zasilanie na wentylator jest. Zasilanie po zapłonie jest. Przejście od ECU do kostki wentylatora jest. Sterowania nie zmierzyłem. Brak...

    Samochody Elektryka i elektronika   03 Lut 2022 12:23 Odpowiedzi: 9    Wyświetleń: 2283
  • Naprawa sterowania skrętu w autku RC EACHINE EC08 przy użyciu Pi Pico - co potrzebne?

    Piszesz, że: Rozbierając "pilot" sprawdziłem napięcie i na skręcie 0 natomiast sterowanie przód tył działa jak należy i napięcie jest... To sugeruje awarię nadajnika. Co dokładnie mierzyłeś? Jest jeszcze możliwość, że padł potencjometr serwomechanizmu, albo sam silnik jak pisał już wcześniej wojtek 9007 Sprawdź czy koła "latają luźno" przy próbie ich...

    Robotyka Modelarstwo i CNC   22 Lis 2023 10:48 Odpowiedzi: 30    Wyświetleń: 381
  • REKLAMA
  • Sterownik pwm dla silnika

    Zajmuje sie elektroniką cyfrową a na analogu znam sie tyle ile potrzeba mi do cyfry więc musicie mi wybaczyć te moje niefachowe określenia :) A ten pwm usiłuje zastosować do sterowania silnikami 2x300W mocy wyjściowej (a pobiera przy tym 400W) 24V które napędzają mój rower :) wszystko jest zasilane z akumulatorów żelowych no a ten pwm przydał sie bardzo...

    Elektro Maszyny i Urządzenia   10 Cze 2006 07:54 Odpowiedzi: 26    Wyświetleń: 8706
  • Magnetofon Grundig TS-945 - Podczas odtwarzania nie kręci się prawy silnik.

    Witam Ten akurat ma 4. istotne jest jest że 2 główne są zamontowane na '' talerzykach'' . Skoro przewija uważam że problem jest w impulsie z play . Działają chyba na zasadzie ''serwo''. Przycisk play czym steruje tam szukać problemu. Na co idzie. Sprawdzić układ który steruje play . Dokładnie tak jest. Po naciśnięciu Play, servo obraca kołem krzywkowym...

    Audio Początkujący   20 Lis 2016 11:22 Odpowiedzi: 5    Wyświetleń: 1434
  • Sterowanie dwoma silnikami (24V/4A) za pomocą 4xL298, możliwe ?

    Co to jest L2. Zdejmij to. Schemat nie jest pełny, jest to część zabezpieczenia przeciążeniowego(cewka z kontaktronem ) takiego jak się używa przy podnoszeniu szyb samochodowych. Natomiast ja do testu tak jak pisałem, podłączyłem kabelki przed L2/dławikiem/kondensatorami, które nie są wogóle wlutowane. Kondensatory 100nF przy silniku powinny być na...

    Początkujący Elektronicy   03 Sty 2018 17:30 Odpowiedzi: 11    Wyświetleń: 801
  • Sterowanie PWM silnikiem z wkrętaka akumulatorowego

    Witam, używam silnika z wkrętaka akumulatorowego Dedra 12V jako napędu robota, obecnie zasilany on jest z dwóch baterii 1,5V i załączany przez mikrokontroler za pomocą IRFZ46N wyciągniętego z tego samego wkrętaka. Z dołączoną przekładnią planetarną 68:1 jest w stanie pociągnąć ważącego około 5kg robota z prędkością niecałych 10cm/s, co wystarcza podczas...

    Robotyka Modelarstwo i CNC   26 Lis 2007 00:43 Odpowiedzi: 2    Wyświetleń: 3377
  • REKLAMA
  • Silnik DC pM, PWM, mostek H, grzeją się P-MOSy, pomocy

    Witam wszystkich! Skonstruwałem prosty sterownik silnika DC PM, oparty na procesorze Atmega32. Obiektem sterowania jest silnik z magnesami trwałymi 70W PROMO-70 (<a href=óły</a>). Zadaniem sterownika jest sterowanie prędkościa, obrotami silnika oraz czasem trwania poszczególnych cykli. Zastosowanie, poszczególne funkcje i interfejsy są tutaj...

    Projektowanie Układów   17 Gru 2007 23:32 Odpowiedzi: 2    Wyświetleń: 3616
  • Sterowanie tyrystorowe silnika 30Kw

    Jeszcze dygresja : NIE WOLNO podłaczac oscyloskopu przy zasilonym układzie , bo bramki tyrystorów są na wysokim potencjale , Impulsy na bramkach względem katody tyrystora mozna sprawdzac tylko przy zasilonej elektronice regulatora ( odłączone zasilanie trójfazowe części energetycznej i bez obawy o oscyloskop ,bo ich amplituda nie przekracza 10 V .

    Elektro Maszyny i Urządzenia   22 Mar 2006 09:11 Odpowiedzi: 131    Wyświetleń: 19163
  • Praca dyplomowa - Zdalnie sterowany samobieżny manipulator..

    ZDALNIE STEROWANY SAMOBIEŻNY MANIPULATOR OPERACYJNY DO ZADAŃ SPECJALNYCH Chciałbym zaprezentować mój kolejny duży projekt, będący zarazem moją pracą inżynierską. Praca jest dość złożona, wymagała dużego nakładu pracy, wykorzystania narzędzi do projektowania i obejmowała głównie trzy nurty - mechanikę, elektronikę i informatykę. Zapraszam do lektury......

    DIY Konstrukcje   02 Sie 2010 23:01 Odpowiedzi: 45    Wyświetleń: 44627
  • Sterowanie - nadajnik/odbiornik - podczerwień/radio

    1 Moje pytanie do ne555 jak ustalać częstotliwość "mrygania" diody IR? Jakimś potencjometrem? Proponowałbym rezystory do przycisków, do każdego inny oczywiście, do tego 555, poszukaj sobie o tym, jest tego mnóstwo w necie. 2 Jeżeli w odbiornik zainstalował bym jakiegoś TSOP17xx i on by odbierał "mrygania" to jak ten sygnał przekształcić a potem dać...

    Robotyka Modelarstwo i CNC   11 Gru 2008 19:20 Odpowiedzi: 5    Wyświetleń: 3362
  • Sterowanie silnika krokowego (step,dir) za pomoca AVR

    No sam zrobiłem generator do sterowania silników na 555. Trzeba jakoś sprawdzić połączenia kabli zanim się zacznie z programem. Zrobić, jakoś sprawdzić na oscyloskopie i i kręcić potencjometrem żeby przyspieszyć. No i sprawdza się do testów. Ale dzisiaj właśnie- co za zbieg okoliczności- bo sprawa się obudziła- zacząłem sterować tymi silnikami w ploterze...

    Mikrokontrolery AVR   04 Lut 2010 20:57 Odpowiedzi: 38    Wyświetleń: 12899
  • Problem z odfiltrowaniem sygnału sterującego przerwaniem

    Witam. Po raz kolejny potrzebuje waszej pomocy. Problem przedstawia się następująco: Mam uC ATTiny2313 a w nim pewną procedurkę wyzwalaną przerwaniem. Pin INT0 jest podciągnięty do VCC rezystorkiem 4k7. Wyzwalaniem przerwania zajmuje się tranzystor BC547 który po otrzymaniu impulsu zwiera pin INT0 do masy wywołując przerwanie. Impuls na tranzystor podawany...

    Mikrokontrolery AVR   28 Maj 2010 16:43 Odpowiedzi: 7    Wyświetleń: 1079
  • [Atmega8][BASCOM] silnik hdd-problem ze sprzężeniem zwrotnym

    Odkopuję temat bo stoję przed identycznym problemem z silnikiem HDD atom1477: Od 2 dni walczę z silnikiem z dysku HDD. Tak jak napisałeś, na oscyloskopie nie mogę dojrzeć w żaden sposób efektu BEMF, nawet po rozpędzeniu silnika do dosyć dużej prędkości. Faktycznie delikatny trapez w przebiegu pojawia się gdy silnik probuję hamować palcem ale jest on...

    Mikrokontrolery AVR   10 Cze 2010 11:27 Odpowiedzi: 17    Wyświetleń: 2997
  • [atmega8] sterowanie pwm silnikiem dc i zawieszanie sie programatora

    Faktycznie, pomyliłem sie na rysunku, używam do pwm silników nóżki 15 i 16. 17 jest też podłączona na bramkę kolejnego mosfeta przez rezystor i on włącza mi świece żarową. Tam już nie mam pwm. Ale ze specyfikacji wynika, że na 17 też można użyc pwm, wiec to chyba nie powinno być problemem. Co ciekawe nie zawsze tak jest. zdarza się czasami, że pół minuty...

    Mikrokontrolery AVR   28 Gru 2011 19:04 Odpowiedzi: 7    Wyświetleń: 2698
  • wykres oscyloskopu z sondy lambda upalona czy nie

    Lepiej podlacz pod miernik analogowy(wskazowkowy) - wzglednie cyfrowy z "bargrafem" zwyczajny miernik jest zbyt wolny i wyprodukuje cos posredniego i malo uzytecznego. W "oscyloskop" z karty dzwiekowej raczej nie wierze (stala czasowa ukladu sterowania praca silnika jest na tyle duza ze sonda przelacza sie dosc wolno - ponizej 14Hz w praktyce kilka...

    Samochody Początkujący   23 Maj 2012 18:21 Odpowiedzi: 13    Wyświetleń: 4722
  • Atmega128 - Płytka sterująca 4 silnikami

    1. sprawdź oscyloskopem, czy sygnały sterujące są takie jak się spodziewasz w momentach w których powinny tam być. Oscyloskop to "kopania wiedzy" o przyczynach problemów. 2. Kondensator taki to tzw. magazyn energii, który ma zabezpieczyć dany moduł przed większymi niż zwykle tętnieniami napięcia zasilającego, które mogą do tego modułu docierać z zewnątrz....

    Mikrokontrolery AVR   10 Gru 2012 19:51 Odpowiedzi: 8    Wyświetleń: 2265
  • Jaki oscyloskop do badania czujników samochodu

    Witam, wiem, że temat był poruszany, przejrzałem wszystkie wątki na ten temat, ale nie znalazłem w nich odpowiedzi na moje pytanie. Szukam oscyloskopu na bazie USB do korzystania z laptopem. Jako cel działania jest przegląd wszystkich elementów sterujących pracą silnika w samochodzie. A więc czujniki magnetoindukcyjne, magnetyczne, sondy lambda, sygnał...

    Samochody Szukam   25 Mar 2018 21:15 Odpowiedzi: 19    Wyświetleń: 9498
  • silnik magnetyczny-Free Energy

    Hej, jestem inżynierem i od 5 lat zajmuję się projektowaniem silników i układów sterowania do silników z MT. Ci, którzy wierzą w bzdury o silnikach z samymi Magnesami nie szanują inżynierów. W BMW czy innej Toyotcie pracuje po 100 ludzi, którzy mają 3x większą wiedzę i doświadczenie jak my wszyscy razem wzięci (z całym szacunkiem), mają wypasiony sprzęt,...

    Na pograniczu nauki   24 Kwi 2017 15:17 Odpowiedzi: 1092    Wyświetleń: 198030
  • GPIO - sterowanie klimatyzacją

    Jasne. Powiedz mi, jak mogę to sprawdzić? Niestety, dość mało mam do czynienia z inżynierią elektroniki, więc mam tutaj problem Podłączasz oscyloskop, naciskasz guziki i patrzysz co się pojawia na przewodach. Ale może sięokazać, że całe sterowanie jest w tym panelu, a wychodzą tylko sygnały sterujące np. silnik sprężarki.

    Raspberry Pi i inne SBC   04 Cze 2018 05:34 Odpowiedzi: 16    Wyświetleń: 1359
  • Fiat Palio Weekend II 1.2 16V - Wadliwa praca silnika

    Tak te sterowniki często się uszkadzają od drgań czego efektem jest brak sterowania . Możesz jeszcze sprawdzić oscyloskopem czy wszystko jest prawidłowo sterowane impulsy na wtryskiwacze impulsy na cewki .

    Samochody Elektryka i elektronika   24 Paź 2018 21:34 Odpowiedzi: 3    Wyświetleń: 456
  • DC sterowanie obrotami silnika muzyką - jak zmodyfikować schemat J-050

    Ba, poziom dźwięku będzie się zmieniał (głośniej/ciszej), dlatego nie chciałem używać popularnych sterowników do LEDów, w której nie ma żadnej regulacji. Chcę zobaczyć, czy da się to wysterować potencjometrami. Zapewne do każdej gry i do każdej sesji trzeba będzie zrobić korekty. Arduino w moim przypadku to pieśń przyszłości, najpierw chcę ogarnąć konstrukcje...

    Początkujący Elektronicy   22 Lut 2021 11:36 Odpowiedzi: 26    Wyświetleń: 1353
  • Niejasności dotyczące sterowania trójfazowego silnika dmuchawy - schemat i zdjęcia układu

    Udało się rozwiązać problem. Po podłączeniu do oscyloskopu okazało się, że jeden triak przewodzi pomimo braku sygnału na bramce, były to niskie napięcia ale wystarczyły żeby wentylator się powolutku kręcił, po skalibrowaniu sondy i dokładniejszym zbadaniu wszystko się wyjaśniło. Co do 5 przewodów, to okazało się, że silnik jest 3 biegowy i ma po prostu...

    Wentylacja i Klimatyzacja   20 Lip 2021 19:22 Odpowiedzi: 3    Wyświetleń: 312
  • Regulacja obrotów silnika AC z Bascoma_avr HELP!

    :cry: Proszę o pomoc w realizacji ukadu sterowania prędkości silnika wentylatora na 220 zmiennego. Próbowałem coś tworzyć, ale zabrnąłem w kozi róg i zero efektów. Mam układ detekcji sieci na mostku bezpośrednio z 220, na wyjściu z mostka rezystor 27k/2W na cny17. Na wtórnym cny17, baza i emiter zwarte rezystorem 100k i do masy, a kolektor na wejście...

    Mikrokontrolery   09 Maj 2003 13:14 Odpowiedzi: 5    Wyświetleń: 2705
  • [Zlecę] Poszukuje elektronika z generat. PWM i Oscyloskop. ok.Katowic

    Witam!! Zlecę pomiar sygnału przekazywanego przez sterownik w samochodzie do obwodu wentylatora (sygnał PWM) oraz sprawdzenie wentylatora, który "nie gada". Potrzebowałbym osobę która ma oscyloskop oraz generator PWM. Moge podjechać do tej osoby. Najchętniej okolice Dabrowy Górniczej, Będzina, Sosnowca. W/w wentylator jest sterowany sygnałem PWM o róznym...

    Ogłoszenia Elektronika   13 Kwi 2011 07:00 Odpowiedzi: 3    Wyświetleń: 1275
  • Pakiet Kinetis Motor Suite do zarządzania i kontroli nad silnikami

    Pakiet Kinetis Motor Suite to intuicyjna platforma dedykowana do kontroli silników, która umożliwia implementację systemów kontroli silników BLDC, PMSM oraz ACIM, wyposażonych lub nie, w układy sensorów. Pozwala to na szybkie, łatwe i wydajne tworzenie systemów kontroli silników, nawet przez osoby nie mające doświadczenia w tym zakresie. Opisywany...

    Newsy   14 Lip 2015 20:43 Odpowiedzi: 0    Wyświetleń: 1080
  • Duży PWM 90A do silnika... jaka dioda?

    Witam. Mam PWM 90A 48V. Pomińmy skąd go wyszarpałem :), z takiej maszyny z akumulatorami i w ogóle. Pracuje o dziwo na wysokiej częstotliwości, bo aż 10kHz (sprawdzone oscyloskopem). Sterownik sprawny. I mam teraz problem. W oryginalnym urządzeniu nie było silnika a w elektronice nie ma diody do silnika. Chcę go użyć do sterowania silnika 24V około...

    Elektro Początkujący   01 Maj 2012 19:00 Odpowiedzi: 0    Wyświetleń: 1118
  • Program do projektowania sterowania z symulacją

    Witam. Potrzebuję programu do tworzenia układów sterowania z możliwością zasymulowania wyniku. Np. schemat zasilania i sterowania silnika asynchronicznego pierścieniowego. Chcę narysować "siłę" z silnikiem oraz ster na przekaźnikach. I gdy włączę symulację to w zależności od tego które przyciski nacisnę w sterze, to chcę widzieć kierunek obrotu silnika....

    Programy EDA   21 Sie 2014 15:54 Odpowiedzi: 1    Wyświetleń: 1581
  • T-REX 600 sterowanie-> Atmega 8 + PWM + regulator RCE BL100 + silnik RCM BL65

    Wydaje mi się, że szybkość zmian serwomechanizmów z użyciem układu jest porównywalna do zmian generowanych oryginalnym sterownikiem. Jednak prędkość transmisji jest jeszcze do skorygowania. Problemem jest nie możność wysterowania silnika/regulatora z mojego układu. Tak czas trwania impulsu wysyłany na starcie jest identyczny (zmierzyłem oscyloskopem)...

    Robotyka Modelarstwo i CNC   29 Lip 2011 11:07 Odpowiedzi: 9    Wyświetleń: 2989
  • A4 B5 V6 - dziwne zachowanie silnika

    Motor 2,6 litra, skrzynia automat. Jest tak- rozpędzasz samochód płynnie-poleci i dwie i pół paki. Ale jeżeli rozpędzasz tak, że przy powiedzmy przy 70 dasz pełnego buta (pedał gazu w dywanik) dojdzie do magicznego 90 na godzinę i nie ciagnie. Po prostu jak by sie włączył jakiś ogranicznik prędkości. Masz pedał gazu w podłodze a ten idzie jak maluch...

    Samochody Elektryka i elektronika   13 Cze 2014 21:56 Odpowiedzi: 5    Wyświetleń: 849
  • Ford Focus 1.8 TDDI - Pomiar sterowania podciśnieniowego zaworu EGR.

    Żeby było śmieszniej mam model bez przepływki. Tam przecież siedzi tylko zwykły czujnik temperatury. Aby się czymś z wami podzielić wykonałem pomiar testerem Vaku. No i tak: przy odpaleniu silnika zaworek steruje podciśnieniem zaraz po starcie(EGR się otwiera) tak ok. 3 sec Następnie zaworek zamyka podciśnienie(EGR się zamyka). Po ok. 6 sec. znowu EGR...

    Samochody Elektryka i elektronika   17 Wrz 2014 12:56 Odpowiedzi: 8    Wyświetleń: 4227
  • Konfiguracja zestawu ATB 1.05a z silnikiem skokowym JK42HS34-0404 i przekładnią 1x9

    Mam zakupiony zestaw ATB 1.05a. Do wyprowadzeń MOT1 i MOT2 podłączyłem silnik skokowy zakupiony w tym sklepie: botland.com.pl/pl/silniki-krokowe/3607-s... 200 impulsów na obrót, 0.25 Nm, napięcie znamionowe 12 VDC. Układ taktowany rezonatorem kwarcowym 11.059200 MHz Do pinów portu D kontrolera...

    Projektowanie Układów   08 Sty 2021 21:00 Odpowiedzi: 3    Wyświetleń: 318
  • Indesit WIA 122, silnik nie ma siły całkiem obrócić bębnem.

    Witam wszystkich! Czy ktoś z kolegów spotkał się z takim przypadkiem? Pralka typ. jak w temacie, silnik nie ma siły obrócić bębnem przy praniu już np. z jednym ręcznikiem, a przy wirowaniu obraca nim bez problemu. I nie chodzi tu o to że obraca bębnem tylko przy wyższych obrotach wirowania, ale także ruchy rewersyjne wolne dla etapu wirowania wykonuje...

    AGD Pralki, Suszarki   14 Paź 2011 21:54 Odpowiedzi: 2    Wyświetleń: 3204
  • Autonomiczny, kartonowy Quadcopter sterowany przez Raspberry Pi

    Autonomiczny, kartonowy QuadCopter sterowany za pomocą Raspberry Pi. To jest autonomiczny, kartonowy quadcopter, sterowany za pomocą Raspberry Pi. Oferuje on również możliwość łączności bezprzewodowej, którą można wykorzystać do takich celów, jak na przykład transmisja obrazu z kamery zamontowanej na urządzeniu w czasie rzeczywistym. Ten quadcopter...

    DIY Zagranica   11 Kwi 2013 13:08 Odpowiedzi: 5    Wyświetleń: 24000
  • Jak projektować mostki H dla silników elektrycznych DC

    Niestety widać że masz mgliste pojęcie o temacie. I znów kolega obraża. Jak wspomniałem projektuje w Siemensie falowniki i zasilacze impulsowe dużej mocy i mnie kolega pisze że nie mam pojęcia mglistego ? Może ja źle napisałem albo kolega nie zna wagi problemu i trudno mu uzmysłowić pewne właściwości fizyczne dialektryków. W ścieżkach pojemność rośnie...

    Artykuły   19 Lut 2018 14:59 Odpowiedzi: 72    Wyświetleń: 10806
  • GOLF IV 1,9 ATD - Nierówna praca silnika

    Witam nie wiele danych podajesz do sprawdzenia po rozgrzaniu silnika czujnik Temperatury płynu chłodzącego, paliwa , temperatury zasysanego powietrza.Prze-pływka jaka masa na wolnych obrotach a jaka powiedzmy przy 3000 próba na zimno i na ciepłym silniku(porównanie). Te dane sprawdzić w wartościach rzeczywistych odczytanych ze sterownika. Do sprawdzenia...

    Samochody Mechanika   28 Gru 2012 23:01 Odpowiedzi: 17    Wyświetleń: 5889
  • Kalibracja enkoderu z serwomotorem od tokarki CNC ze sterowaniem SIMODRIVE 611

    Cześć Koledze padł silnik w tokarce. Koszty naprawy niebotyczne - naprawiłem mu (mam nadzieję skutecznie) silnik usuwając przebicie w punkcie gwiazdowym uzwojeń. Nie musiałem nic grzebać w stojanie poza rozcięciem kilku sznureczków, zlutowaniem na nowo przewodów (druty osobno ocynowane/ skręcone oraz owinięte miedzianą plecionką) Całe połączenie zaizolowane...

    Automatyka Przemysłowa   27 Lis 2018 23:19 Odpowiedzi: 10    Wyświetleń: 2019
  • Jak sterować alternatorem 48V typu Mild-Hybrid?

    Warto kupić Boost Recuperation System (BRM Boscha) bywają nawet za 1000 zł na OLX. Główna zaleta to olbrzymia sprawność. Alternator 12V to tylko 50-60% sprawności, a tu ze sterownikiem i aku LTO lub LFP (A123) w obie strony 88%. Taką sprawność podaje producent jako całości MHEV. Można przyjąć że sama maszyna BLDC/PMSM ma min. 92% sprawności jako silnik...

    Samochody Elektryka i elektronika   12 Lut 2023 10:15 Odpowiedzi: 11    Wyświetleń: 2394
  • Sterowanie silnikiem DC. Generator przebiegu prostokątnego.

    Mam taki schemacik. Przebieg z NE 555 jest widoczny na oscyloskopie. Zmiany następują co 1s. Jakie napięcie i jakie tranzystory zastosować aby na silniku uzyskać około 4,5 - 5 V ?

    Robotyka Modelarstwo i CNC   10 Lis 2006 06:24 Odpowiedzi: 13    Wyświetleń: 4569
  • [AVR]Czoper sterownika silnika krokowego

    Jeszcze raz rzuciłem okiem. Wychodzi, że jest OK. Wyjście komparatora załączające niezbędnego do AND ( czy tam NAND ) npn teraz zasila pnp. A powrót do masy jest na drugim npn. MOS będzie wysterowany tylko wtedy, gdy i tu i tu będzie H. Tu można pomyśleć o uproszczeniu układu i pozbyć się wejściowego npn. Gdyby za stan "Praca" przyjąć w sterowaniu L,...

    Mikrokontrolery   19 Sie 2009 07:29 Odpowiedzi: 33    Wyświetleń: 6684
  • 25BY2406 i Popelscan - silniki nie kręcą się

    Mierzenie miernikiem nic tutaj nie da. Ewentualnie jak masz miernik analogowy to można coś pokombinować. Ale najlepiej oscyloskopem. 1. Sprawdź przebiegi na tych dwóch pinach. 2. Jeśli przebiegi są ok sprawdź przebiegi na wyjściach pierwszych wzmacniaczy operacyjnych. Coś tam się powinno ruszać zależnie od włączonej animacji. 3. Podobnie powinny wyglądać...

    Optoelektronika   22 Gru 2009 11:18 Odpowiedzi: 38    Wyświetleń: 8813
  • [Atmega16][C] Sterowanie napędem rotora, PWM

    CO do L293D- akurat mam dostęp do paru sztuk tego układu, więc wolałbym robić wszystko właśnie na nim. OK. Tematy z bloga, które zasugerowałeś (zarówno dotyczące minimalnych podłączeń jak i PWM a także inne czytałem po parę razy już wcześniej). Czytałeś, ale do schematu nie zastosowałeś. Dodam więc jeszcze jeden link: Rozumiem, że w Atmedze generacja...

    Mikrokontrolery AVR   28 Sie 2011 22:54 Odpowiedzi: 55    Wyświetleń: 8530
  • Jak oszukać moduł szyb w Colt cabrio, by sterować dachem?

    Zazwyczaj jest to pomiar prądu, po napotkaniu na opór pobór prądu wzrasta i silnik się zatrzymuje. Jak sterownik ma tryb "uczenia" to dodatkowo uczy się czasu otwarcia/zamknięcia, by odróżnić normalne zamknięcie od napotkania przeszkody (wtedy kawałek cofa szybę). Tak, to zrozumiałe dla mnie. Spróbuję pożyczyć oscyloskop by zobaczyć co się dzieje na...

    Samochody Początkujący   28 Sty 2020 14:36 Odpowiedzi: 29    Wyświetleń: 1782
  • Jak usunąć przerwy w sterowaniu silnikiem przez IRremote?

    Zawiera tylko to void volumeMotorStop() { delay(100); digitalWrite(volumeUpPin, LOW); digitalWrite(volumeDownPin, LOW); } Dodano po 7 Tak to wygląda na oscyloskopie.

    Arduino   14 Lut 2024 14:14 Odpowiedzi: 7    Wyświetleń: 207
  • Astra 95 silnik C14 NZ wypadają zapłony, czasem gaśnie silni

    podczas dojeżdzania np do krzyżówek - sprzęgło, wolne obroty, auto się toczy - słychać i widać na obrotach równomierne tzn cykliczne zmiany obrotów z podwyższonych na prawie jałowe i tak aż do zatrzymania się, po chwili obroty wyrównują się i spadają. To może być spowodowane uszkodzeniem czujnika prędkości własnej (jest w tablicy wskaźników). Miałem...

    Samochody Elektryka i elektronika   08 Maj 2006 17:08 Odpowiedzi: 6    Wyświetleń: 3451
  • Nie działa silnik krokowy Polonez Caro 1,6 GLi

    Tłoczyska nie obrócisz , zobacz ma rowek prowadzący.Silniczek najlepiej sprawdza się testerem. Czyszczenie nie na wiele się zdaje. Tylko wymiana daje gwarancję poprawnego usunięcia usterki, przy zacinającym się tłoczysku. Przerwę w uzwojeniach silniczka wykryjesz omomierzem. Impulsy sterujące można podejrzeć oscyloskopem.

    Samochody Elektryka i elektronika   12 Mar 2008 22:29 Odpowiedzi: 30    Wyświetleń: 18957
  • Wzmaciacz różnicowy na LM358 - pomiar prądu silnika

    Przykro mi to mówić ale podany przez ciebie układ świadczy, że nie rozumiesz istoty działania jego poszczególnych fragmentów. 1. Diody włączone bez rezystora (u mnie R2) nie spełniają funckji ochronnej wejścia, bo w układzie z rezystorem, ewentualne przepięcie odkłada się właśnie na tym rezystorze (gdy, któraś dioda przewodzi) Dodatkowo ten rezystor...

    Początkujący Elektronicy   12 Mar 2008 19:27 Odpowiedzi: 51    Wyświetleń: 29366
  • Pralka Candy GO614HTXT-16, silnik nie ma mocy.

    Witam, wymontowałem moduł sterujący i faktycznie do radiatora jest przykrecony układ IRAMS10UP60B. Bardzo proszę o wskazanie miarodajnego sposobu na jego sprawdzenie. Jak by nie patrzeć 200 pln piechotą nie chodzi i wymiana dobrego elementu na nowy niezbyt mi pasuje. Zauważyłem ciekawą rzecz: dopóki kręcę kołem napędowym bębna na wyświetlaczu nie pokazuje...

    AGD Pralki, Suszarki   22 Lis 2010 20:10 Odpowiedzi: 11    Wyświetleń: 5715
  • ARDUINO - motor shield i sterowanie silnikami DC ...

    1. To o tym jak działa ladowarka to stwierdzenie - to zasilacz impulsowy i kropka - żeby sprawdzić jak sieje i czy to jest powodem problemu trzeba oscyloskopu. 2. Czy sprawdziłeś że procesor jest zasilany napięciem 5v? 3. Czy inne programy, np miganie diodą działają przy zasilaniu z tej ladowarki? 4. Co daje kabel - na moje oko powoduje stabilizowanie...

    Arduino   21 Kwi 2012 23:33 Odpowiedzi: 16    Wyświetleń: 6024
  • Stratny regulator napięcia 3F - sterowanie

    Nie chodzi o stan ustalony! Wtedy napięcia nie są bardzo wysokie. Najgorsze są stany nieustalone a szczególnie zrzut obciążenia. Nawet w alternatorach z regulowanym pradem wzbudzenia "uzyskiwano" ponad 100 V! Indukcyjności własne a szczegolnie indukcyjności rozproszenia są miejscem gromadzenia energii, która "wyładowuje" się w momencie przerwania a...

    Motocykle, Motorowery   06 Cze 2018 20:39 Odpowiedzi: 29    Wyświetleń: 14177
  • Inżynieria wsteczna pilota na podczerwień do zdalnie sterowanego helikoptera

    Swego czasu autor kupił dla syna zdalnie sterowany (podczerwienią) Okazało się, że S107G używa procesora do wykonywania kodowania sygnału, więc bez przeanalizowania sygnałów sterujących nie można wiele powiedzieć na podstawie samej budowy płytki. Niestety, oznaczenie procesora było zatarte (co jest dość powszechną praktyką w komercyjnych urządzeniach),...

    DIY Zagranica   30 Gru 2017 18:24 Odpowiedzi: 3    Wyświetleń: 9270
  • Ośmiokanałowe, zdalne sterowanie w systemie proporcjonalnym

    Niniejszym przedstawiam projekt sterowania radiowego opracowanego dla modelu samolotu zdalnie sterowanego. Kodowanie PPM Opisane poniżej modulatory wykorzystują kodowanie PPM. To standard powszechnie znany dlatego nie będę się rozpisywał. W koderze PPM na każdy kanał jest podawany impuls o długości od 700 do 2200μs; ta długość zależy od położenia...

    DIY Zagranica   14 Lut 2013 10:27 Odpowiedzi: 2    Wyświetleń: 11322
  • Silnik DC - Zwiększony pobór prądu na sterowniku tyrystorowym.

    Z przebiegami będzie kłopot. Do tego żeby miały sens to najlepiej jakby oscyloskop mógł pokazać wszystkie 6 faz jednocześnie - takich możliwości nie mam. Ale udało mi się na pożycznym rejestratorze/analizatorze sieci ustalić że każdy z sześciu tyrystorów otwiera się na czas 1/6 czasu pojedynczego okresu sinusoidy napięcia zasilania pobierając prąd o...

    Automatyka Przemysłowa   22 Mar 2013 11:21 Odpowiedzi: 5    Wyświetleń: 2079
  • Odtwarzacz DVD Kenwood - silnik

    Napięcia są symetryczne spadki identyczne - przebieg prądu stałego na oscyloskopie. Tego zdania zupełnie nie rozumię. Mierzysz oscyloskopem prąd? Kolego, przecież to co pisze jest proste, nie wiem czemu tego nie rozumiesz czy może nie chcesz zrozumieć. Podczas gdy silnik stoi masz do cewek podłączone napięcie 5V ale nie łączy się przez cewki z masą....

    DVD/VCR/Kamery/BD Serwis   21 Maj 2015 20:39 Odpowiedzi: 17    Wyświetleń: 2088
  • Pralka LG WD-12210BD - Błąd "LE" i brak jednej fazy zasilającej silnik

    Witam, otrzymałem do naprawy pralkę LG WD-12210BD (10KG) z silnikiem Direct Drive. Problem polega na tym, że silnik nie pracuje (jedynie słychać buczenie) i po czasie pojawia się błąd "LE". Silnik nie wydaje się być uszkodzony, ułożyskowanie również jest w porządku, wszystko obraca się swobodnie. Problem leży w module sterującym, z którego wychodzi...

    AGD Pralki, Suszarki   11 Gru 2014 23:12 Odpowiedzi: 0    Wyświetleń: 7701
  • Gramofon Radiotehnika Arija102 - Silnik nie ma siły wystartować/wysokie obroty.

    Na zdjęciu schemat płytki sterowania . W lewym górnym kąciku zdjęcia schemat silnika . Należy sprawdzić cewki ( L 1.1 - L 1.2 i L 2.1 - L 2.2). Ich opór powinien być jednakowy . Jeśli silnik próbuje startować , lecz nie może zacząć się obracać są 2 przyczyny - uszkodzenie jednej z cewek, lub nie ma napięcia generatora jednej z 2 faz . Cewki sprawdzisz...

    Audio Początkujący   02 Paź 2015 16:24 Odpowiedzi: 18    Wyświetleń: 3078
  • EWF147540W - EWM2500 Nie kręci silnikiem 3fazowym, zwraca E9F w trybie serwisowy

    Witam Problem z pralką jak w temacie. Załącza przekaźnik podający napięcie na falownik, na falownik idzie sterowanie - obejrzane na transoptorach CNY17 oscyloskopem. Podstawiony falownik - bez rezultatu. W trybie wirowanie nie zwraca żadnych błędów poza tym, że silnik się nie kręci i oczywiście nigdy wirowania nie kończy. Pralka okresowo rusza, ale...

    AGD Pralki, Suszarki   01 Kwi 2016 10:04 Odpowiedzi: 3    Wyświetleń: 1773
  • Citroen C4 2.0 HDI -nierówna praca silnika. Wtryski poza kalibracją P0266, P0272

    Wtryski sa na pewno Siemensa (Conti) i z mojej wiedzy piezoelektryczne. No to masz poważnego "bolaka", ponieważ bez oscyloskopu, cęgów prądowych lub specjalnego przyrządu nie jesteś w stanie dokonać pomiarów elektrycznych na pracującym silniku, a tym samym potwierdzić pełną sprawność elektryczną wtryskiwaczy. Uwaga! Nie wolno wyłączać wtyczek elektrycznych...

    Samochody Mechanika   14 Cze 2019 18:22 Odpowiedzi: 40    Wyświetleń: 56985
  • Sterownik silnika bezszczotkowego - tranzystory wybuchają

    Dlaczego Ugs miałoby przekroczyć 20V? Przecież napięcie na górnej bramce rośnie ale względem masy a górny tranzystor jest sterowany względem VS. Napięcie bootstrap też nie powinno rosnąć bo kondensator jest ładowany przez diodę. Napięcie Uds nie powinno znacząco przekraczać napięcia zasilania dzięki diodom wbudowanym w tranzystory. Zasymulowałem to...

    Projektowanie Układów   03 Paź 2017 19:44 Odpowiedzi: 23    Wyświetleń: 1950
  • Jak sprawdzić, czy różnica wskazań MOSFET IRF 3205 wpływa na działanie silnika?

    Nie posiadam w tej chwili oscyloskopu ale moge pozyczyc DSO138 - czy on wystarczy do pomiar ów ? Dodano po 27 Niestety nie mogę pomierzyć żadnych napięć sterowania mosfetami w układzie. Jakiekolwiek miarodajne pomiary w tym układzie mogą być wykonane jedynie oscyloskopem. Co do testowania tranzystorów. Piszesz, że mają 220... Czego 220, przy jakim napięciu...

    Początkujący Naprawy   07 Lut 2019 14:45 Odpowiedzi: 10    Wyświetleń: 696
  • Sterowanie fazowe vs grupowe do dmuchawy WPA 120 - co wybrać?

    Sterownik tech ST37N sterowanie 10/10 6/10 3/10 1/10 Są to przebiegi prądu na silniku dmuchamy zdjęte przy użyciu sondy fluke do 20kHz na OS351 :) Jak widać jest to sterowanie fazowe i przy nastawie 1/10 silnik kręci znacznie wolniej 2-3 razy słuchowo niż na 10/10 i bez żadnego buczenia. Wybacz kolego Robot17 ale czy posiadasz podstawy wiedzy do budowy...

    Elektryka Dla Każdego   23 Cze 2019 11:16 Odpowiedzi: 21    Wyświetleń: 1269
  • Sterowanie amatorskimi frezarkami CNC - Ostatnia odsłona CNCGRAF DOS

    Ok. A ma kolega oscyloskop. ? Bo w ciemno to bym nie dawał więcej jak 2200uF - 4700uF na 35V. O ile to nie podniesie mocy, to wątpię czy dalsze zwiększanie filtracji ma sens. Nie wiemy nic w jaki sposób silniki są zasilane z z samego drivera... Bo większa moc z zasilacza tradycyjnego możne się wiązać z wyższym nap. wyjściowym (o ile zasilacz nie jest...

    Artykuły   28 Sie 2021 15:08 Odpowiedzi: 107    Wyświetleń: 11826
  • VNH5050A - jak prawidłowo mierzyć prąd silnika?

    Witam Mam problem z pomiarem prądu silnika sterowanego za pomocą mostka VNH5050A. Jest tam pin CS z którego wypływa prąd proporcjonalny do prądu obciążenia, z którego po podłączeniu odpowiednio dwóch rezystorów powinno się uzyskać 0,13V-0,14V na amper. Obok jest też pin CS_DIS który powinno się ściągnąć do masy aby pomiar był aktywny. Według dokumentacji...

    Początkujący Elektronicy   12 Mar 2020 06:46 Odpowiedzi: 3    Wyświetleń: 372
  • Opel Omega rocznik 2000 silnik.: 3.0 V6 - rozruch na ciepło jedynie na popych

    Omomierzem nie zmierzysz tych czujników . Tu potrzebny jest oscyloskop. Czujnik wału jest nadrzędny i bez niego zazwyczaj nie odpalisz. Bez czyjnika wałka ecu wchodzi w tryb awaryjny i steruje parami wtrysków bo nie wie w ktorym cylindrze jest suw ssania a w ktorym praca. Czujnik wału prosto wstępnie zdiagnozować obserwując obrotomierz przy kreceniu...

    Samochody Początkujący   19 Cze 2020 16:07 Odpowiedzi: 16    Wyświetleń: 606
  • Silniki krokowe 17HS4401 i sterowniki A4988 - hałas przy napięciu 0,7V i 0,2V

    Raczej masz nieprawidłowe sterowanie częstotliwością impulsów. Najlepiej jakby dało się sprawdzić przebieg na oscyloskopie. Czasami przyczyną może to być też jakiś drobiazg jak np. elementy w układzie o zbyt dużej indukcyjności. Czasem, po prostu złe wysterowanie.

    Robotyka Modelarstwo i CNC   28 Kwi 2021 15:03 Odpowiedzi: 4    Wyświetleń: 354
  • Audi A4 B6 2003r. 2.0FSI AWA - Starownik/Sterowanie zaworem zmiennych faz rozrzą

    Nie ma tam przekaźnika a do pomiarów jest potrzebny oscyloskop zawór jest sterowany PWM. Masz jakiś błąd? Przy wpiętej wtyczce do zaworu KZFR mam tylko jeden błąd "P1293 Termostat cieczy chłodzącej silnika-zwarcie do plusa" (błąd ten mam już od ponad roku - podczas wizyt w warsztatach mechanicy twierdzą, że ten błąd nie ma wpływu na takie zachowanie...

    Samochody Elektryka i elektronika   17 Sie 2021 16:39 Odpowiedzi: 3    Wyświetleń: 1245
  • Wybór oscyloskopu 4-kanałowego - GDS-2204E GW Instek dla serwisu przetwornic, napędów DC, spawarek

    Cześć. Szukam oscyloskopu 4-ro kanałowego. Jak na razie zwracam się w kierunku: Kupował będę na pewno w TME, a co do zastosowań to: - Wszelkiego rodzaju serwis przetwornic lub ogólnie mówiąc obwody kluczowania tranzystorów/tyrystorów. w napędach DC, spawarkach. - Sensory halla w silnikach BLDC, enkodery analogowe w serwo-silnikach. - 3 fazowe mostki...

    Warsztat co kupić?   30 Wrz 2021 09:51 Odpowiedzi: 14    Wyświetleń: 699
  • Silnik 1.6 A16XER - Błędy KZF, parametry wałków i kody P0011, P0013, P0017 po nagrzaniu auta

    Ma ktoś schemat układu olejowego do tego dziadostwa? Albo inaczej ,tam idą dwie linie oleju do głowicy tak? Jedna zasilana KZF a druga to smarowanie wałków itd ?Obie lecą przez zaworki zwrotne ? Dodano po 10 3. Przydał by się pomiar prądu idącego na zawory KZFR (napięcie mówi że sterownik chce a prąd powie czy zawór go dostaje by sterować trzonkiem...

    Samochody Elektryka i elektronika   06 Sty 2024 18:25 Odpowiedzi: 38    Wyświetleń: 2901
  • Mosfet IRLR2905 N - Wysoka temperatura podczas sterowania mikrokontrolerem Atmega8

    Witam drogich forumowiczów. Od jakiegoś czasu borykam się z problemem dość wysokiej temperatury tranzystora mosfet mojego sterownika. Mosfety to dla mnie dość nowe zagadnienie gdyż dość niedawno rozpocząłem przygodę z elektroniką. Po przejrzeniu kilkunastu tematów na forum związanymi ze sterowaniem mosfetami wiem, że mosfety grzeją się w sytuacji niepełnego...

    Układy Zasilające   19 Lut 2022 18:28 Odpowiedzi: 23    Wyświetleń: 1110
  • Bosch MUM54251/01 - robot kuchenny silnik chwilowo gwałtownie rusza i przestaje działać.

    Jak chcesz brnąć w to ambicjonalnie, to musisz sobie zrysować schemat płytki, poustalać elementy (na podstawie oznaczeń) zmierzyć je, bo że nie wyglądają na spalone, to nie znaczy że są dobre i jak wymyślisz co poeta (projektant) miał na myśli, to wtedy analizować czemu nie działa. Sporo pracy, moim zdaniem nie warte 260zł, chyba że dla sportu i nauki....

    AGD Drobny sprzęt   13 Sty 2023 17:13 Odpowiedzi: 8    Wyświetleń: 648
  • BMW E90 318i 2008r 143KM - Nie odpala, błędy 2A9A, 2DED silnik, A10A immobiliser, rozrusznik kręci

    Błąd 2A9A wskazuje na czujnik położenia wałka rozrządu lub usterkę sterowania zmiennymi fazami rozrządu. Zawory Vanosa trzeba sprawdzić. Cewki powinny mieć taką samą rezystancję w okolicach 10-12 Ω. Działanie czujnika można sprawdzić próbówką diodową, a najlepiej przy pomocy oscyloskopu. A10A - to zakłócenia w komunikacji pomiędzy sterownikiem silnika...

    Samochody Początkujący   26 Maj 2023 13:26 Odpowiedzi: 1    Wyświetleń: 915
  • Silnik agregatu Kraft Dele Kd109 nie odpala - słaba iskra. Jakie napięcie na cewce?

    Nie mam takiego agregatu więc nie wiem dokładnie jak jest sterowana ta cewka. Być może jakimś modułem. Do cewki dochodzą impulsy napięciowe więc pomiar zwykłym miernikiem nie jest miarodajny. Tu byłby potrzebny oscyloskop. Więcej byśmy dowiedzieli się gdybyś wpiął w szereg z uzwojeniem pierwotnym amperomierz ( najlepiej gdyby to był analogowy - wskazówkowy...

    Inne Silniki Spalinowe   10 Lis 2023 13:33 Odpowiedzi: 3    Wyświetleń: 189
  • Electrolux TE1120P (91609813600) silnik nadal nie kręci po wymianie IGCM04F60GA

    Trzeba wykonać pomiary, czy układ w momencie startu silnika jest zasilany, czy ma wszystkie potrzebne do pracy napięcia oraz czy otrzymuje impulsy na wejścia sterujące - zmierzyć oscyloskopem. Dlaczego układ był wymieniany? Czy był uszkodzony? Czy taka podmiana może ruszy....

    AGD Pralki, Suszarki   07 Mar 2024 16:37 Odpowiedzi: 6    Wyświetleń: 348
  • PWM sterowane przez ADC w avr

    Ratunku... Rozkręcając silnik niczego się o nim nie dowiesz. Dobrze że ci się nie udało, bo byś szukał następnego. Może na obudowie ma coś napisane? Jakiś symbol- można poszukać w internecie. Zrób regulowany ogranicznik prądu. Prąd należy mierzyć szczytowy a nie średni. Tak robią sterowniki do bldc. Dlatego kosztują tyle ile kosztują. Ale czy ATMega...

    Mikrokontrolery AVR   22 Kwi 2009 17:57 Odpowiedzi: 20    Wyświetleń: 6307
  • Sterowanie silnikiem z dysku twardego

    Dziękuję za odpowiedź, muszę coś wyjaśnić, napisałeś że w żadnym wypadku nie powinien robić "krok do przodu, dwa do tyłu". Silnik nie kręci się w tył i przód tylko drga w przód i tył przemiennie co mogę zaobserwować wzrokowo jeśli czas Waitms mam duży np 500ms, drganie to jest wyraźne przy każdym kroku, jednak kroki robi poprawnie tzn zawsze w jednym...

    Robotyka Modelarstwo i CNC   30 Cze 2009 14:45 Odpowiedzi: 5    Wyświetleń: 10405
  • Atmega16 i silnik krokowy bipolarny schemat

    Jak chodzi ci dioda prawidłowo na Twojej płytce- to procesor działa. Jeśli nie masz oscyloskopu- to zrób namiastkę. Ustaw obroty na bardzo wolne i sprawdzaj miernikiem stan sterujacych wyjść na procesorze. I równolegle drugim miernikiem stan wejść na mostkach. Sondy miernika dociskaj do pinów układów- nie do druku. Albo: Do wyjść sterujących mostkami...

    Mikrokontrolery   30 Sty 2010 01:17 Odpowiedzi: 15    Wyświetleń: 5644
  • Regulator obrotów silnika indukcyjnego

    Witam. Na początku pragnę zaznaczyć, ze nie jestem elektronikiem tylko chemikiem a elektroniką zajmuje się hobbistycznie. Stąd podejście do sprawy od strony użytkownika. Poszukiwałem taniego sposobu na zmniejszenie obrotów wentylatora łazienkowego AirForce AF125 (produkt Castorama o mocy 16W i prądzie ~100mA) tak by wyciszyć wentylator. Na Elektrodzie...

    Elektro Maszyny i Urządzenia   13 Sty 2014 22:12 Odpowiedzi: 2    Wyświetleń: 7005
  • Sprawność silnika tłokowego

    Mój najnowszy projekt to sprawdzanie pewnych patentów w praktyce dlatego buduję właśnie hybrydę elektryczno/spalinową for my Research & Development :) Napęd będzie przeniesiony na tylną piastę 3-biegową poprzez gwintowaną dwustronnie piastę z zębatkami na gwint. Wszystko na mocnych łańcuchach jednorzędowych. Zastosuję prosty manewr wycinając blachy...

    Na pograniczu nauki   16 Maj 2013 19:11 Odpowiedzi: 98    Wyświetleń: 31062
  • IRLZ24 - silnik krokowy - niski prąd i moment

    Nie wiem czy będzie grać, bo skąd mam wiedzieć czy tam nie robisz jakichś innych błędów? Próbuj. Sprawdź zasilanie +12V, +5VUSB - najlepiej obejrzeć to oscyloskopem, bo wygląda na to, że masz albo niestabilne sterowanie.

    Projektowanie Układów   20 Sie 2014 21:48 Odpowiedzi: 11    Wyświetleń: 1863
  • Zliczanie obrotów silnika DC -

    Lustro prądowe nie potrzebne daj poprostu bocznik szeregowo z silnikiem, bierz pod uwagę że silnik sie zużywa, może drgać, szczotki wypalają, a na komutatorze może zapalać się łuk, to wszystko wpłynie na przebieg prądu. W momencie przejścia szczotki z jednego uzwojenia na drugie będzie ona łączyła dwa uzwojenia co spowoduje wzrost prądu silnika a zatem...

    Projektowanie Układów   20 Mar 2015 18:37 Odpowiedzi: 31    Wyświetleń: 4665
  • Sterowanie sprzętowe serwem

    Artykuł ma jakieś informacje ale niekompletne i przez to mogą wprowadzać w błąd. Np. piszą że analogowe serwo ma sterowanie PWM. A to nieprawda. Sterowanie jest czasem impulsu. Owszem przy stałości częstotliwości (50Hz) można to potraktować jako PWM. Ale nawet najprostrze serwa (zbudowane za pomocą prostej zasady którą opiszę dalej*) nie będą reagowały...

    Mikrokontrolery   29 Sty 2016 19:28 Odpowiedzi: 22    Wyświetleń: 1140
  • Sterowanie silnikiem krokowym - Sterowanie mikrokrokowe - układu Pololu A4988

    Dałem 11.7 V i dalej to samo. Nie wiem czy nie ma jakiejś granicznej szybkości taktowania poniżej której układ nie działa. Mam taki efekt, że co kilka impulsów mam jeden krok, potem kolejny impuls powoduje mniejszy krok a następnie przez dwa kolejne impulsy nic się nie dzieje. W najbliższym czasie jak się uda to sprawdzę oscyloskopem przebiegi na końcówkach...

    Robotyka Modelarstwo i CNC   21 Gru 2016 10:31 Odpowiedzi: 11    Wyświetleń: 1785
  • Własny silnik bezszczotkowy - Pomiar prądu

    Chciałbym wykonać na nim kilka pomiarów, a mianowicie, chcę przy pomocy zasilacza laboratoryjnego zmieniać napięcie zasilania i zmierzyć prąd elektryczny. Niestety miernik posiada straszną bezwładność i wartości prądu "skaczą". Przy napięciu 16V pokazuje maksymalnie około 0.44A i natychmiast spada, przy napięciu 24V pokazuje maksymalnie 0.55A. Czy...

    Projektowanie Układów   02 Paź 2017 20:50 Odpowiedzi: 8    Wyświetleń: 1788
  • Lodówka Gorenje NRS85728RD Side by Side - Płytka sterująca

    Nie chce mi się z Tobą spekulowac, dyskutować. Dla mnie jesteś zwykłym laikiem w temacie i nie mamy o czym rozmawiać. Nie znasz się usilnie chcesz abyśmy Cię droga kablową nauczyli. Ale.. Własnej inicjatywy brak = lenistwo = idź sobie kup nową i nie zwracaj gitary albo zwróć się do kogoś kto się w tym specjalizuje. Tylko tyle umiesz napisać? A gdzie...

    AGD Początkujący   07 Maj 2019 19:25 Odpowiedzi: 32    Wyświetleń: 2391
  • sterowanie MOSFETAMI i zakłócenia

    Jeśli chodzi o chwilowe zwarcie, czyli, ze włącza się jedna para zanim druga zdąży się wyłączyc, to wyeliminowałem to programowo, stosując 10 ms przerwy. Problem który opisałem, pojawia się głównie przy załączaniu lub wyłączaniu silnika. Dzieje się coś dziwnego z układem, przyjżałem się dokładnie wystkim przebiegom na oscyloskopie i nie widze zadnych...

    Projektowanie Układów   19 Sie 2006 23:26 Odpowiedzi: 17    Wyświetleń: 3135
  • Mazda 323 sedan 96 rok silnik 1,5 16v - obroty silnika

    Na pocątek na pewno należałoby podłączyć komputer pod diagnostyke, bo na oko nigdy nie dojdziesz do tego co jest przyczyną.Faktem jest że gdy silnik osiągnie swoją nominalną temperaturę pracy, komputer przełącza się z trybu ustalonego na tryb podług sygnałów sondy.Jeśli jest zimny dostosowuje parametry z pamięci, w drugim przypadku podstwawowy parametr...

    Samochody Elektryka i elektronika   13 Lut 2007 09:08 Odpowiedzi: 9    Wyświetleń: 5638
  • Fiat UNO Clip - dławienie się silnika, szalejące obroty

    Niestety schematu tego układu nie mam. Co do potencjometru przepustnicy to przekonany jestem, że ma. Oczywiście do sprawdzenia. Sprawdziłbym napięcie zasilania czujników map, tps i temp-5v z kompa. Ciśnienie paliwa-trójnik na doprowadzeniu i manometr. Potem oscyloskop i sterowanie wtryskiwacza-czas, przebieg. Szczególną uwagę zwróć na ślady korozji...

    Samochody Elektryka i elektronika   07 Maj 2007 15:01 Odpowiedzi: 7    Wyświetleń: 7313
  • silnik krokowy,graf,stopniowy wzrost prędkości

    Tu jest cały kod łącznie z zakomentowaną obsługą LCD- może coś jeszcze jest źle. #include <stdint.h> #include <avr/io.h> #include <stdlib.h> #include <avr/interrupt.h> #include "HD44780.h"//to jest radzia #include "TWI.h" //i to też jest radzia #define LICZBA_KANALOW 4 //cztery kanały- tu można zwiększyć ilość...

    Mikrokontrolery   27 Gru 2010 00:14 Odpowiedzi: 81    Wyświetleń: 6836
  • Sterowanie i hamowanie serwo (Mitsubishi)

    Podaj dokładny model serwowzmacniacza. A parametr PA01 jaka ma wartość? Wzmacniacz to MRE-20A. Parametr PA01 sprawdzę dla 100% pewności w poniedziałek ale prawdopodobnie jest ustawiony na default czyli 0002. Może masz jakiś poślizg? Masz soft do konfiguracji napędu? Nie wiem jaki to seronapęd, ale w większości przypadków da się podejrzeć aktualną pozycję...

    Automatyka Przemysłowa   03 Lip 2011 19:32 Odpowiedzi: 11    Wyświetleń: 3625
  • Focus C-Max - czasem nie można uruchomić silnika, błędy wtryskiwaczy...

    Przyjrzałbym się pracy i wydajności pompy wysokiego ciśnienia. Trochę mi to nie pasuje. Ciśnienie paliwa na rozruszniku ponad 300 Bar, czyli dobrze. Sterownik widzi obroty silnika. Jest też taki parametr: ciśnienie paliwa zezwalające na start - tak/nie, trzy, cztery obroty wału i wskakuje "tak". Wygląda jak by nie sterował wtryskami, tylko z jakiego...

    Samochody Elektryka i elektronika   12 Paź 2012 08:08 Odpowiedzi: 11    Wyświetleń: 14155
  • Astra H silnik Z16xep nie daje się uruciomić

    Reasumując: jeżeli sterownik na pin 28 w złączu K wystawi plus sterujący cewką przekaźnika, to silnik pracuje nawet kilkanaście sekund potem ten sygnał gwałtownie zanika silnik gaśnie. To sterownik gasi auto. Pytanie czemu, czyżby gdzieś jest zbyt mała oporność? Podłączyć trzeba oscyloskop i obserwować co dzieje się tuż przed zgaszeniem silnika.

    Samochody Elektryka i elektronika   17 Gru 2023 22:45 Odpowiedzi: 54    Wyświetleń: 23813
  • Silnik 1.1kW agregat 3.5kW nie daje rady wystartować, kondensatory silnika

    Ja mam podobny problem. Hydrofor Gardena 4000/5 artikel 1483 - 1000W. Tu instrukcja do niego Agregat Kraftele 6500 - 6.5KW, ale trójfazowy. Patrzyłem oscyloskopem, daje taki nieco trójkątnawy sinus, z naleściałościami do AVR-a. Trochęnie rozumiem dlaczego asynchroniczny genetrator trójfazowy nie daje ładnego sinusa? Uzwojenia źle poukładane na obwodzie?...

    Elektro Początkujący   07 Lip 2017 21:31 Odpowiedzi: 26    Wyświetleń: 6381
  • Zastosowanie diod przeciwprzepięciowych w sterownikach PWM dla silników BLDC

    W nocie katalogowej podano dużo wspaniałych parametrów ale żeby z nich korzystać trzeba znać jakie wartości poszczególnych parametrów ma nasz układ a niestety producenci silników DC czy BLDC nie podają jakiej wartości napięcie indukuje się w ich silnikach czy jest rzędu 100V czy 500V które niszczy mosfeta. Budując układ sterowania PWM dla silników DC...

    Początkujący Elektronicy   10 Kwi 2022 09:53 Odpowiedzi: 14    Wyświetleń: 231
  • Citroen C4 ll - zacinające się kierunkowskazy LED po uruchomieniu silnika

    Sprawdziłem instrukcję i ten moduł nie obsługuje komunikacji Can. „Współpraca z canbus” to bełkot marketingowy stosowany przez sprzedawców z allegro i innych portali sprzedażowych. Obsługuje sterowanie PWM, ale tylko świateł stop/pozycyjnych/ przeciwmgielnego. Jak nie masz oscyloskopu , podjedź do kogoś, kto sprawdzi co pojawia się na żarówce od kierunków...

    Samochody Początkujący   04 Lut 2024 17:05 Odpowiedzi: 9    Wyświetleń: 237