REKLAMA

sterownik uklad

Znaleziono około 2738 wyników dla: sterownik uklad
  • Sterowniki do plyty vt82c693a

    Jaki znowu kodek? To sterownik do chipsetu oczywiscie w wersji pod Windows 98. Jezeli szukasz innych sterownikow to podaj MODEL plyty lub MODEL KODEKA, a jeszcze lepiej sam uzyj Everest Home sprawdz model i sam sciagnij sterowniki od producenta ukladu.

    Software serwis   02 Lip 2008 16:09 Odpowiedzi: 12    Wyświetleń: 3428
  • Zawieszanie systemu operacyjnego po instalacji sterownika 82579LM Gigabit

    DELL LATITUDE E6320 po zainstalowaniu sterownika Intel 82579LM Ethernet Controler na systemie windows 7 lub 10 bez znaczenia, systemy operacyjne zaczely sie "zawieszac" obraz sie zatrzymywal w danym momencie bez reakcji na klawiature badz touchpad. Po ponownym uruchomieniu laptopa system operaycjny nie mogl ponownie wystartowac w normalnym trybie tylko...

    Komputery Początkujący   20 Gru 2019 22:25 Odpowiedzi: 6    Wyświetleń: 579
  • HP HDX9300 - Brakujący sterownik

    Pozostało: 0%(podłączony, nie ładuje)". Sprzęt jednak działa normalnie, bateria jest umieszczona w doku a w momencie wyjęcia wtyczki z gniazdka laptopa ten zwyczajnie wyłącza się jak po odcięciu prądu, czyli tak jakby w ogóle baterii nie było. Uszkodzony układ ładowania na płycie , albo bateria.. Ale to nie od tego sterownik.. takowy uklad nie jest...

    Sterowniki i BIOS   03 Sty 2015 17:53 Odpowiedzi: 6    Wyświetleń: 1098
  • REKLAMA
  • Sterownik do bramy. 24v stb-02

    Zamowie raczej ten profesionalny sterownik niema co kombinowac.elmes moze dlugo nie pochodzic.najlepiej byloby ten orginalny zrobic ale nikt niechce sie tego podiac bo prawdopodobnie padl uklad ten co jest wpisany program do niego.a pozatym gosc powiedzial ze skad wezmie schemat do tego sterownika.sterownik wlacza silnik na 3 sek i rozlacza i tak caly...

    Projektowanie Układów   03 Sty 2022 22:13 Odpowiedzi: 13    Wyświetleń: 1011
  • Poszukiwany zamiennik układu LM3404 do sterownika LED dostępny w Polsce

    Elementy Elektroniczne - zamienniki i identyfikacja   09 Sty 2022 22:41 Odpowiedzi: 1    Wyświetleń: 246
  • REKLAMA
  • Silnik krokowy na LB1845. Proszę o schemat sterownika.

    Witam. Prosze o schemat sterownika na ukladzie LB1845 dla silnika krokowego.

    Projektowanie Układów   15 Sie 2006 15:12 Odpowiedzi: 2    Wyświetleń: 2585
  • Sterowniki do Fujitsu Siemens USB smartcard reader

    Nie spodziewalem sie, ze bede kiedys prosil Was o sterowniki do czegokolwiek jednak nadszedl ten dzien. Mam albo jakies zacmienie albo na serio nie mozna tak latwo znalezc tego. Wpadl mi w rece komputer - Fujitsu Siemens Scenic S2 (i845G) wyposazony w czytnik o ktorym mowa w temacie postu. Po zainstalowaniu wszystkich wymaganych sterownikow zostaje...

    Software serwis   02 Sie 2008 19:57 Odpowiedzi: 2    Wyświetleń: 4097
  • Uklad sterujacy swiatlami na skrzyzowaniu(nie znalazlem odp)

    Jestem zupelnie nowy w dzianienie projektowania ukladow wiec mam pytania : Mam zaprojektowac i wykonac bardzo prosty uklad sterujacy swiatlami na skrzyzowaniu. ma to wyglondac tak CC CCŻ CZ 10s CŻ CC ZC 5s Nie wiem zupelnie jak to zrobic wiem tylko tyle od nauczyciela ze ma to byc na 555 Moze mi ktos powiedziac jak to zaprojektowac a jak to mi sie uda...

    Początkujący Elektronicy   16 Gru 2008 22:49 Odpowiedzi: 4    Wyświetleń: 782
  • Sterownik na ukladzie TA8435H. Jak z zasilaniem????

    Witam. CHciałbym zadac pytanie odnośnie sterownika dla silnika krokowego opartego na układzie TA8435H. Wczesniej posiadałem sterownik, w którym zasilanie silnika było 24V oraz to samo zasilanie było dla sterownika. Na wyjściu nastomiast, oprócz pinów dla Step Dir, miałem pin gdzie wychodziło napięcie 5V, którym zasilałem układ dopasowujący sygnały dla...

    Automatyka Przemysłowa   03 Paź 2009 09:41 Odpowiedzi: 2    Wyświetleń: 1053
  • REKLAMA
  • Sterownik, sterowanie i czujniki ;)

    Witam, Jak co roku wymyslaja nam na uczelni jakis projekt i tym razem padlo na jakis uklad z czujnikiem czyli np samochodzik co bedzie omijal przeszkody czy jakis manipulator potrafiacy reagowac na otoczenie ( korekcja polozenia czy cos ). Wiadomo ze standardowo powinno to wygladac tak ze bierze sie odpowiedni sterownik, czujniki, serva, konstrukcje...

    Automatyka Przemysłowa   25 Maj 2008 14:58 Odpowiedzi: 10    Wyświetleń: 3291
  • Uszkodzony Sterownik migomatu nieznany układ scalony.

    Witam mam problem z sterownikiem od półautomatu spawalniczego. są na nim 3 układy scalone pozbawione oznaczen. wydaję mi sie któryś z ukladów scalonych o 14 nóżkach został uszkodzony impulsami wysokiego napiecia pochodzącymi od dławika prądu spawania . Po włączeniu spawarki załącza sie podajnik drutu, stycznik głównego transformatora oraz elektrozawór....

    Początkujący Naprawy   20 Paź 2016 11:53 Odpowiedzi: 4    Wyświetleń: 3187
  • REKLAMA
  • Sterownik paliwa w Megane 1.6 16V

    Samochod czasami gasnie podczas jazdy. Po wstepnym rozpoznaniu u elektryka to sterownik pompy paliwa, ktory musi byc wymieniony na nowy i zaprogramowany na nowo tylko w ASO. Jak to jest z tymi sterownikami? Uklad zalany jest zywica i nie ma mozliwosc sprawdzenia go z "bliska". Jak to jest z tymi sterownikami? Czy rzeczywiscie trzeba kupic nowy i podlaczyc...

    Samochody Elektryka i elektronika   24 Sie 2005 17:45 Odpowiedzi: 10    Wyświetleń: 3050
  • sterowniki do MATSONIC 7016S

    Uzyj Everest, nastepnie sciagnij sterowniki od producentow ukladow lub z google.

    Software serwis   26 Sty 2008 16:21 Odpowiedzi: 1    Wyświetleń: 737
  • Dziwnie dziala uklad scalony.

    Próboje od kilku dni uruchomić przetwornice w ktorej jako sterownik występuje uklad 4047. Problem jest tego typu. na jednym układzie tego samego oznaczenia lecz firmy philips uklad pracuje poprawnie. jest na nim napisane HEF4047BP. Na drugim układzie niewiadomej firmy uklad nie dziala. Jest na nim napisane CD4047BE. Czy oba układy scalone różnią sie...

    Początkujący Elektronicy   23 Gru 2008 21:29 Odpowiedzi: 7    Wyświetleń: 1316
  • Autoalarm carprotect bx 5000 - schemat sterownika / numer ukladu uln

    Witam Szukam schematu sterownika autoalarmu carprotect bx 5000 (schematu sterownika a nie schematu podłączenia) lub konkretnie nazwy zastosowanego w tym sterowniku układu scalonego uln. Sterownik uległ uszkodzeniu, a chciałbym go naprawić jednakże uszkodzenie uniemożliwia odczytanie modelu (numeru) zastosowanego tam układu scalonego uln, który uległ...

    Samochody Szukam   23 Lut 2015 22:21 Odpowiedzi: 4    Wyświetleń: 918
  • Pytanie o sterownik bez układu wykonującego

    Mam takie pytanie czy wie ktos gdzie, lub pod jaka nazwa moge znalesc takie uzadzenie: programujemy jedną funkcje i ile ma ona trwac nastepnie nastepna i ile ma trwac i nastepna itd. i po nacisniecu przycisku np WYKONAJ nastepuje kolejne wykonywwanie tych czynnosci. Układ ten ma byc tylko sterownikiem bez ukladu wykonującego. Prosze o mozliwie szybką...

    Projektowanie Układów   28 Cze 2006 21:22 Odpowiedzi: 1    Wyświetleń: 744
  • Zabezpieczenie wyjść sterownika diodami?

    Witam, W przypadku stosowania przekaznika pomiedzy wyjsciem sterownika a ukladm wykonawwczym chodzilo mi raczej o fakt iz maksymalna obciazalnosc wyjsc sterownika wynosi 10A. Moze sie tak zdarzyc ze chcac wysterowac nt 5 stycznikow sumaryczna wartosc tego pradu znacznie przekracza mozliwosci sterownika. I tu warto zastosowac odpowiednie przekazniki....

    Automatyka Przemysłowa   18 Mar 2008 20:11 Odpowiedzi: 31    Wyświetleń: 11165
  • Nie rozpoznaje ukladu[ATMega16]

    W menadzerze urzadzen mam normalnie USBasp, wszystko zainstalowalem jak sprzet wykryl nowe urzadzenie i poprosil o sterowniki

    Mikrokontrolery   25 Sie 2008 02:10 Odpowiedzi: 10    Wyświetleń: 1339
  • Dobór sterownika/ programatora przeplywu.

    Witam . Mam problem z doborem sterownika do ukladu z rysunku. Poprzedni sterownik jest juz stary i nie ma juz ich w produkcji. Zastanawiam się nad takim zamiennikiem . Czy ktoś mógłby mi coś polecić , lub doradzić czym się kierować i na co zwrócić uwagę wybierając drugi sterownik ???

    Automatyka Przemysłowa   26 Lip 2015 18:29 Odpowiedzi: 6    Wyświetleń: 1101
  • TL-WN722N - Bluescreen po instalacji sterowników, windows 8.

    (at)duda1988 sprawdz inne sterowniki, najlepiej wpisz identyfikator karty w google i sciagnij sterowniki dla ukladu na ktorym jest oparta karta zamiast te od Tp-Linka. W razie problemow podaj dokladna wersje systemu oraz identyfikator karty z menadzera (pid i vid lub ven i dev).

    Software serwis   11 Lip 2017 11:38 Odpowiedzi: 6    Wyświetleń: 2247
  • Rozbudowa ukladu avt1613 - obroty silnika w funkcji temeratury

    Witam, W ukladzie AVT1613 sterujemy silnikiem (np. went promieniowy), poprzez zmiane rezystancji potencjometru. Ja potrzebuje sterownik obrotow silnika 230v wzgledem temp w pomieszczeniu. Czy istnieje jakis uklad ktory polaczony z tym kitem moglby sterowac obrotami wentylatora w funkcji temperatury? Wiem, ze jest duzo gotowych sterownikow przemyslowych...

    Projektowanie Układów   16 Maj 2012 12:08 Odpowiedzi: 2    Wyświetleń: 1509
  • Sterownik do pamięci DDR

    Czesc, Zainstaluj sobie oprogramowanie vendorow FPGA i zazwyczaj maja IP Core do pamieci DDR. Dla Xilinx'a jest on w Core Generator, Altera ma to w MegaWizard Plug-In Manager, Lattice w IPExpress a Actel w SmartGen'ie. To sa nazwy programow w pakietach vendorow ktore generuja IP Cory. Musisz to sobie sprawdzic co oni oferuja bo kontrolery pamieci DDR...

    Programowalne układy logiczne   12 Lip 2007 22:52 Odpowiedzi: 3    Wyświetleń: 1368
  • sterownik-zewnętrzny wyświetlacz LED

    poczytaj o ukladach MAX7219 i MAX7221. Proste szeregowe podlaczenie do uC, multiplexowane sterowanie LEDami. Czasem gdy nie mam ochoty bawic sie w jakies kombinowanie uzywam ukladu 82C43. Jest to fajna kostka ktora posiada 16 pinow I/O oraz prosty i oszczedny interface do procesora. Wyjscia maja dosc spora wydajnosc pradowa. Uklad produkuje Intel, NEC...

    Automatyka Przemysłowa   26 Paź 2007 13:51 Odpowiedzi: 2    Wyświetleń: 1266
  • SSK-B01 eksploduje sterownik

    Witam wszystkich. chcialem zbudowac moj kolejny uklad sterowania silnikiem krokowym do pewnej maszyny. zastosowalem sterownik SSK-B01 ze strony sterownik ten mial obslugiwac attiny 2313 i wsio ok. zasilanie z trafa 2x12V jeden odczep na zasilanie logiki a obydwa w szerego do zasilania sterownika silnika. po podlaczeniu do zasilania po chwili koncowka...

    Początkujący Elektronicy   13 Sty 2009 20:43 Odpowiedzi: 5    Wyświetleń: 3554
  • Sterownik PLC - projekt. Jakim programem zaprojektować?

    Podalas bardzo malo danych dotyczacych projektu. Sterownik nie od razu rowna sie PLC. Rownie dobrze moze byc to uklad regulacyjny oparty na jakims mikrokontrolerze np 8051. Bez wiekszej wiedzy na temat sterowanego procesu nie mozna okreslic, wymaganych zasobow, typu i liczby sygnalow rejestrowanych i sterowanych. A bez tego nie dobierzesz sterownika....

    Automatyka Przemysłowa   12 Lis 2008 15:27 Odpowiedzi: 12    Wyświetleń: 3486
  • 4-osiowy sterownik maszyny CNC

    1. Bezpieczniki w zasilaczu - jak to ATX ma zabezpieczenia przeciwzwarciowe. Jak zasilasz takim zasilaczem to zastosuj dosc duze kondensatory na zasilaniu. Poza tym, ATX nie ma 24V. Ma +/-12, ale -12 jest tylko na 0.5A. Jesli zasilasz tego typu zasilaczem to rowniez diody zwrotne dalbym raczej przy silnikach i jakies szybkie, bo jak jako zwrotne masz...

    DIY Konstrukcje   09 Cze 2011 21:03 Odpowiedzi: 19    Wyświetleń: 16928
  • Servo sterownik. Jakie układy? Jaki program to obsłuży?

    witam wszystkich mam taka prosbe jestem calkiem zielony z ukladow sterowania serwo mechanizmami a niestety mam do zrobienia robota na zaliczenie i prosil bym was o pomoc a mianowicie jaki uklad sterujacy byscie mi proponowali zastosowac do tego odrazu zaznaczam ze chce uzyc okolo 6 serw w robocie i prosil bym abyscie wraz z nazwa ukladu zapodali mi...

    Automatyka Przemysłowa   15 Mar 2007 17:22 Odpowiedzi: 1    Wyświetleń: 1215
  • Wypalarka CNC. Obsługa silników krokowych, sterowników. Potrzebne wskazówki.

    Chciałbym zbudować wypalrke cnc od strony mechanicznej to dla mnie nei problem. korzystałbym z tej strony kupujac komponenty, ale niestety sam nie mam zielonego pojecia jak z elektronika obslugą silników krokowych sterowników ani oprogramowania do komputera sterujacego tym ukladem. Potrzebuje wskazówek albo najlepiej kogos kto juz cos takiego wykonał...

    Projektowanie Układów   16 Wrz 2007 15:45 Odpowiedzi: 3    Wyświetleń: 2391
  • Komp. HP HQ700 brak sterowników AUDIO pod XP

    Witam! Mam następujący problem. Posiadam komputer HP SmartTouch IQ700 który oryginalnie miał nagraną Viste Home (jakąśtam). Zrobilem format, zainstalowałem sobie oryginalnego XP (przyzwyczajenie po starym kompie). No i zaczęły się schody przy szukaniu sterowników (do kompa nie była dołączona żadna płyta). Stery do chipsetu, grafiki, bluetooth itp jakoś...

    Software serwis   01 Gru 2008 21:16 Odpowiedzi: 3    Wyświetleń: 1275
  • Wymiana sterownika ABS na ABS/EDS VW Sharan 1,9Tdi

    Nie znam hydrauliki tych ukladow,przypuszczam jednak,ze uklad bez EDS,u to 2-obwodowa struktura i przy wykryciu poslizgu kola,odpuszcza cisnienie w calym ukladzie.EDS to juz uklad 4-obwodowy i zmniejsza cisnienie tylko w kole,w ktorym wykryl poslizg/blokowanie.Jesli to prawda(czego nie jestem pewien)to konieczne bedzie poprowadzenie przewodow hamulcowych...

    Samochody Początkujący   09 Gru 2010 18:38 Odpowiedzi: 14    Wyświetleń: 15878
  • Wzmacniacz 2x150W na sterownikach LME49810

    Z wygladu konstrukcja srednio mi sie podoba. W srodku jest nieco lepiej choc duzo zdjec nie dales ale widac co nie co.Plytki wygladaja starannie. Moim zdaniem takie sterowniki to strata kasy.O ile bylby to ukl typu 7250 to jeszcze -bo malym nakladem pracy mamy tranzystorowa koncowke mocy ,uklady mute,st-by itp. Ale tutaj mamy stopien koncowy Darlingtona...

    DIY Konstrukcje   26 Lut 2013 16:59 Odpowiedzi: 45    Wyświetleń: 28696
  • ATC7400M ATREND Socket 370 Intel 810 - sterowniki do płyty

    Uzyj Everest i sprawdz jakich sterownikow potrzebujesz, a nastepnie poszukaj sterownikow na google lub na stronach producentow danych ukladow.

    Komputery Hardware   26 Maj 2005 23:53 Odpowiedzi: 4    Wyświetleń: 3494
  • Sterownik efektow swietlnych z diodami LED na xc9536L

    Witam Pytanie jest nastepujace: Czy ktos z szanownych forumowiczow ma gdzies na kompie schemat takiego sterownika...ma to byc 16 albo 24 diody swiecace podlaczone do ukladu prgramowalnego i maja one swiecic w sposob w jaki zaprogramujemy uklad. Jesli ktokolwiek wie jak to zrobic to prosze o wskazowki...jesli ktos potrafi chociazby naszkicowac na szybko...

    Programowalne układy logiczne   24 Kwi 2009 14:07 Odpowiedzi: 5    Wyświetleń: 1298
  • Programowalny sterownik do fotografii długoterminowej

    Witam. Jak na wstępie zauwazyłem układ ma kilka cech, które nie ma wbudowany lub fabryczny sterownik. To, że są aparaty wyposazone w uklady timerów to wiadomo nic odkrywczego. Ale ... 1) żaden z nich nie sygnalizuje, że nie zrobił zdjecia 2) żaden nie sygnalizuje, że mu bateria pada 3) uklad pracuje tylko dlatego, że jest ładowany baterią sloneczną....

    DIY Konstrukcje   01 Lut 2012 17:05 Odpowiedzi: 35    Wyświetleń: 15489
  • Jaki sterownik do diody laserowej 3W?

    Nie radze nie uzywac gotowych ukladow. Radze natomiast nie uzywac ukladow, ktore sa przeznaczone do czego innego. LM350 to stabilizator napiecia do zasilaczy a nie driver diod laserowych duzej mocy. Uklad z die4drive trzeba zmodyfikowac tak, zeby zwiekszyc zakres regulacji pradu. Jesli wygaszanie ma byc tak wolne jak piszesz to mozna zrobic bardzo wolny...

    Optoelektronika   23 Paź 2010 12:21 Odpowiedzi: 6    Wyświetleń: 5835
  • Broadcom otwiera źródła sterowników grafiki do swoich układów

    (at)lukashb Zapoznaj sie dokladnie ze sprawa. Broadcom to nie jedyna firma ktora nie udostepnia od tak zrodel do obslugi swoich ukladow graficznych i nie tylko, mozna by tutaj wymienic jeszcze Realteka, Qualcomm-a itd. Generalnie do sporej liczby produkowanych ukladow nie ma szans dostac jakiejkolwiek dokumentacji. Dostaja ja jedynie firmy kupujace...

    Newsy   06 Mar 2014 02:15 Odpowiedzi: 5    Wyświetleń: 3309
  • Renault Megane II Sport - Wypadanie zapłonu-nie cewki,świece ale sterownik..

    Na roznych co chwila niestety.a powiedzcie mi ktos rzucil haslo czy sprawdzilem uklad dolotowy??to minalem co nalezy dokładnie do ukladu dolotowego moze faktycznie jakad nieszczelnosc jest... Dodano po 3 Olej, filtry wymienione? Jakieś mody w aucie? Gaz? Szczelność dolotu sprawdzona? Jaki błąd wywala i na jakim sprzęcie?

    Samochody Początkujący   07 Cze 2018 15:48 Odpowiedzi: 35    Wyświetleń: 13023
  • Budowa własnego e-papierosa (MOD) - Sterownik PWM na NE555, ogniw Life P0 123, Mosfet IRLB3034

    Witam wszystkich, przymierzam sie do budowy e papierosa potocznie nazywanego MOD. Do budowy chce uzyc ogniw Life P0 123. Uklad bedzie sterowany przez mosfet IRLB3034 i teraz do tego chcialbym dolozyc sterownik PWM abym mógł kontrolowac moc. Szukajac takich PWM zazwyczaj przy budowie takich papierosów wykorzystywany jest PWM na ukladzie ne555. Przegladajac...

    Początkujący Elektronicy   14 Wrz 2022 06:04 Odpowiedzi: 18    Wyświetleń: 408
  • Szukam sterownika magistrali(3 stanowy)

    Szukam układu sterownika magistrali. Chodzi o to zeby przelaczac jedna podstawke 20 pinowa miedzy dwa uklady.Chcialbym przelaczac procesor 89cX051 pomiedzy programatorem a ukladem w ktorym pracuje . Myslalem o kluczach analogowych lecz ukl 4053 zawiera po 3 klucze a to komplikuje cala aplikacje .Moze znacie jakies inne uklady ktore moglbym wykorzystac....

    Elementy Elektroniczne - zamienniki i identyfikacja   16 Gru 2002 16:59 Odpowiedzi: 0    Wyświetleń: 1111
  • Problem z ukladem.

    Mam problem z tym ukladem, zrobilem taki jak na schemacie ale nie dziala, napiecie jest wszedzie, ale np. wlacozna zarowka czy silnik nie dziala. Problem dotyczy Tyrystorowego sterownika odwrotnie-proporcjonalnego. 220V/800W. moze w schemacie jest jakis blad.

    Projektowanie Układów   25 Paź 2003 08:12 Odpowiedzi: 6    Wyświetleń: 1763
  • Adapter USB->RS232 programowanie sterowników

    lekki OT: A probowal moze ktos rozebrac stary modem analogowy pcmcia (do kupienia na wage za 10zl). Starsze modemy analogowe bazowaly na wielu ukladach scalonych i w rozwiazaniu na szyne ISA 16bit widzialem ze odzielny uklad robi za port szeregowy a odzielny jest dopiero ukladem modemu z podlaczonym zewnetrznym epromem i ramem. W starych PCMCIA moze...

    Mikrokontrolery   21 Lis 2008 20:57 Odpowiedzi: 10    Wyświetleń: 9414
  • Problem z prostym ukladem- schemat- symulacja

    Witam, postanowilem zrobic prosty sterownik urządzeń elektrycznych. Zastosowalem do tego konstrukcje "resetera", czyli sterowanie urzadzeniem wlacz/wylacz za pomoca portu LPT. Urzadzenie wykonalem juz na 1 gniazdko 240V i wszystko dziala bardzo dobrze, teraz chcialbym wykonac takie urzadzenie na wiecej niz 1 urzadzenie- na 6 lub 8. I tu mam problem-...

    Projektowanie Układów   03 Mar 2007 15:07 Odpowiedzi: 2    Wyświetleń: 981
  • Jak podłączyć siłownik do sterownika radiowego?

    Witam. TEmat moze duzo nie mowi ale postaram sie opisac moj klopot w kilku slowach. MAm radio-sterownik ktory po nacisnieciu przycisku na pilocie podaje na jeden przewod mase na chwilke. chcialem za jego pomoca sterowac silownikiem. CZyli + do 12V a - do tego przewodu. Jednak po nacicnieciu guzika silownik ledwie drga. Nie wiem czy masa jest tak licha...

    Projektowanie Układów   18 Sie 2007 08:12 Odpowiedzi: 5    Wyświetleń: 1224
  • s7-1200 - Podłączenie ukladu do wyjścia cyfrowego

    Witam, Posiadam sterownik s7-1212c ac/dc/rly i mam problem z podłaczeniem ukladu. wyjscia sa relay outputs. Otóz układ z dwoma przekaznikami chce podłaczyc włąsnie do dwoch wyjsc cyfrowych. I nie bardzo wiem co podpiac pod 1L badz 2L. Wiem ze np jak mam 1M to podpinam tam wspolna mase, jak 2M to to samo. Do 1L tez podpiałem wspolna mase ale nie bylo...

    Automatyka Przemysłowa   21 Sie 2014 16:53 Odpowiedzi: 3    Wyświetleń: 4458
  • [Zlecę] budowe prostego ukladu sterujacego silnikiem krokowym

    Witam Zlece budowe prostego ukladu. Uklad ma sterowac 4 silnikami krokowymi odpowiadajacymi za ustawienie twardosci amortyzatorow, jednak wszystkie beda dzialaly rownoczesnie, wiec mozna potraktowac to jako jeden silnik. Z silnikow wychodza po 3 przewody, opornosc pomiedzy nimi po 6omow. Uklad posiadalby minimum 2 wejscia oraz 5 wyjsc Wejscie 1 to przycisk...

    Projektowanie Bazar   07 Sty 2015 14:03 Odpowiedzi: 0    Wyświetleń: 750
  • Sterownik BLDC 30A ESC - schemat

    Witam, schemat przerobiony trafnie, kolega wyzej nieco za bardzo trywializuje zagadnienie 30 A, silnik BLDC o takim pradzie nie jest juz zabawka, sa tutaj bardzo duze du/dt, wymaga to znacznego oddalenia czesci analogowej od cyfrowej na PCB. Nie biora koledzy rowniez pod uwage ze schemat macierzysty byl projektowany do silnikow o bardzo niskich pradach...

    Początkujący Elektronicy   03 Sty 2017 19:30 Odpowiedzi: 10    Wyświetleń: 4956
  • Sterownik do rolet zewnętrznych sterowany bezpotencjałowo

    Witam forumowiczow Montuje rolety zewnetrzne na oknach (tj. jakas firma mi montuje). Chce zastosowac troche nietypowa instalacje, bo w mieszkaniu wszystkim steruje mi raspberry. Przy kazdym napedzie rolety bedzie taki komputerek i wlasnie nim chce sterowac zaluzjami. To sie sprowadza do sterowania bezpotencjalowego (zeby nie machac zestawem czterech...

    Automatyka bram, szlabanów, rolet   27 Lip 2022 21:48 Odpowiedzi: 0    Wyświetleń: 204
  • Audi A4 TDI ABS i EDS. Plik wsadowy do sterownika.

    Chcialbym usprawnic swoje Audi A4 w uklad EDS (Elektronische Differenzialsperre) , w moim aucie jest tylko ABS , uklady te pracuja wspolnie tyle ze ABS zalacza sie przy hamowaniu , a EDS przy ruszaniu . Wydaje mi sie ze uklad EDS nie wymaga zadnych dodatkowych urzadzen takich jak np. czujniki lub inne elementy , prawdopodobnie trzeba tylko zaadaptowac...

    Samochody Elektryka i elektronika   16 Sty 2005 22:12 Odpowiedzi: 5    Wyświetleń: 6212
  • Sterownik AGD przez RS232 z Chip

    Zbudowalem taki uklad. Jest to interfejs do sterowania sprzetem AGD (np.220V przez podlaczenie tranzyst. i przekaznika do tego ukladu). Mam pytanie czy powinno sie odizolowac ten uklad od portu RS232.? Slyszalem ,ze mozna stosowac jakas optoizolacje , ale nie wiem jak to zrobic. Uzywane jest tylko TXD i GND z RS232. Prosze o pomoc. # Jeszcze jedno...

    Projektowanie Układów   01 Mar 2005 15:16 Odpowiedzi: 1    Wyświetleń: 1331
  • Jak sterownik monostabilny przerobić na bistabilny?

    Witam! Potrzebuje porady,posiadam urzadzenie(6 kanalowy sterownik)na jego wyjsciach(1 do 6) pojawiaja sie masy do sterowania przekaznikami w momencie trzymania przycisku.potrzebuje tak zrobic aby kazdy z 6 kanalow po wcisnieciu przycisku raz zalaczal przekaznik i trzymal go do momentu ponownego nacisniecia tegoz samego przycisku.chodzi tu o przerzutnik,tyle...

    Początkujący Elektronicy   12 Lis 2006 13:51 Odpowiedzi: 6    Wyświetleń: 2619
  • Jaki program rozpozna jakie maja byc sterowniki do dzwieku?

    sprawdz typ plyty glownej bo zapewne dzwiek jest zintegrowany i sciagnij do niej sterowniki audio, a jak to nie pomoze to zagladnija do kompa i zobacz typ ukladu od dzwieku taki maly kwadratowy i poszukaj do niego sterownikow.

    Software serwis   22 Sie 2007 13:54 Odpowiedzi: 2    Wyświetleń: 1458
  • Sterownik pompy paliwa

    Witam Jestem poczatkujacy w temacie mikrokontrolerow, tzn mialem z tym stycznosc kilka lat temu, ale niedawno wrocilo zainteresowanie, a z tym proba opracowania sterownika do pompy paliwa na jacht. Calosc ma byc oparta na uC atmega8. Uklad zasilany z baterii 12V (przy ladowaniu 14,8V), ma zalaczac i wylaczac pompe dostarczajaca paliwo do zbiornika dziennego...

    Mikrokontrolery   14 Maj 2008 08:45 Odpowiedzi: 6    Wyświetleń: 4073
  • Sterowniki audio do Epox'a EP-8KRAI

    Lepiej u producenta ukladu, strona epox'a (usa, tw) juz od dawna nie dziala (nie da sie pobrac plikow). Na szczescie .ru dziala: jednak sa tam stare wersje sterownikow.

    Software serwis   22 Sty 2009 16:04 Odpowiedzi: 3    Wyświetleń: 10198
  • kilka pytan odnosnie sterownika BLDC

    Witam. Zabieram sie za budowe sterownika BLDC. Jako ze jeszcze na niego czekam, mocno rozmyslam nad sterownikiem i chcialbym zaczac juz budowe, przynajmniej czesci analogowej. Ponizej moze napredce sklecony szkic: Mam kilka pytan, ale najwazniejsze jest pierwsze: 1)przegladnalem kilka not aplikacyjnych, zeby zobaczyc jak to jest robione, i jestem troche...

    Elektro Maszyny i Urządzenia   20 Sty 2010 09:46 Odpowiedzi: 2    Wyświetleń: 1851
  • Sterownik Podświetlania Sufitu

    Witam wszystkich Ostatnio byłem trochę zajęty ale teraz przedstawiam jedną z moich najnowszych konstrukcji Sterownik podświetlania sufitu to kolejny projekt, który może zostać wykorzystany jako element architektury światła w domu. Ponieważ w obecnych czasach bardzo ważny jest aspekt oszczędzania energii całość docelowo ma zostać oparta o diody LED...

    DIY Konstrukcje   05 Sty 2012 11:38 Odpowiedzi: 8    Wyświetleń: 18030
  • HP Pavilion dv6-6b01ew - wgranie nowy system, sterowniki

    Wgrałem dziś cały system Windows 7 Ultimate mimo głupiego gadania fachowców z HP. Każdy informatyk, programista czy kto by tam nie był od określonego producenta gada że coś tam nie zadziała po wgraniu innego oprogramowania niż oryginalne, które dołączyli, to wiadome tak było, jest i będzie. Sterowniki wgrałem w kolejności : 1.sieć 2.pamięć masowa 3.bezpieczeństwo...

    Software serwis   31 Paź 2014 10:42 Odpowiedzi: 6    Wyświetleń: 1971
  • Sterownik żyrandola na 3 żarówki z podtrzymaniem pamięci na układach serii 74xx

    Witam, potrzebujesz licznik np., 7490, 7493, czy dwa 7474, na wejscie podajesz impuls z przycisku (po uformowaniu) wyjscia licznika do dekodera np. 7442/45 lub prosty dekoder z bramek bo w sumie 2-3stany dekodujesz. wyjscia dekodera steruja przekaznikami czy innymi elementami wykonawczymi. Cala sztuka to dekoder ma reagowac na: - zarowka 1, gdy stan...

    Nauka Elektroniki, Teoria i Laborki   17 Cze 2020 20:20 Odpowiedzi: 5    Wyświetleń: 474
  • Wymiana wyświetlacza Solomon Systech SSD1303T10 132x64 - czy możliwe zastąpienie sterownikiem 1305?

    Cena jak cena .. Dystrybutor kasuje za wymianę tego LCD prawie 4000 zł Mozesz i sprzedawac po cenie dystrybutora, nikt ci tego nie zabroni, wiesz o czym pisalem wczesniej... Cena obejmuje wynajęcie "inżyniera" który ma udział w każdej płytce, ponadto zakup w rosji kilku komponentów -patentów, zakup w DE kolejnych, wykonie w chinach płytek pcb itp....

    Mikrokontrolery   13 Maj 2022 23:07 Odpowiedzi: 22    Wyświetleń: 1836
  • Zasilanie sterowników silników krokowych

    Prawdopodobnie chciales sie dowiedziec o stabilizowane napiecie bo ten parametr czesciej wystepuje jako stabilizowany. Oczywiscie prad tez wystepuje stabilizowany jako zrodlo pradowe. Stabilizowany = constans, znaczy to ze parametr (prad lub napiecie) caly czas powinien miec stala wartosc. Zasilacz stabilizowany nie powinien zmieniac napiecia znamionowego...

    Początkujący Elektronicy   17 Maj 2004 00:42 Odpowiedzi: 6    Wyświetleń: 2901
  • przerobka sterownika lcd na ledy

    otoz chodzi o to, ze posiadam uklad ICL7106, ktory jest sterownikiem LCD. Da sie przerobic jakos go aby mozna bylo tym sterowac Ledy? Nie chce kupowac ukladu 7107 bo on kosztuje 10zl. jesli uda mi sie przerobic 7106 to zawsze jest jakas oszczednosc. z gory dzieki za pomoc :) Dodano po 13 moze troche malo precyzyjnie wyrazilem sie w poprzednim poscie......

    Projektowanie Układów   11 Gru 2004 23:25 Odpowiedzi: 2    Wyświetleń: 1152
  • Sterowniki do płyty ECS K7S5A-audio?

    Nudzisz, o tej plycie wszystko wszedzie zostalo juz napisane! Sterowniki do sis'a jak i c-medii znajdziesz na stronie ECS'a wiec zamiast sie lenic wejdz na strone producenta i sciagnij sterowniki. ITE to nie ten uklad...

    Software serwis   20 Mar 2007 19:58 Odpowiedzi: 4    Wyświetleń: 7048
  • Sterownik do wentylatora.

    Witam wszystkich :) Dzisiaj ze czasu duzo i ze znalazlem w szafie tranzystor mocy irfp450 (nota katologowa: ) pomyslalem ze zrobie sobie inteligentny sterownik do wentylatora ktory mialby za zadanie zwiekszac obroty wentylatora gdy radiator (we wzmacniaczu) sie nagrzeje i zmniejszac obroty gdy temp radiatora spadnie. Narazie polaczylem to tak: Zaobserwowalem...

    Projektowanie Układów   21 Kwi 2008 12:28 Odpowiedzi: 3    Wyświetleń: 3127
  • Kolejny Hp DV9000 sterowniki,ale z innej beczki...

    Witam serdecznie,mam od nowosci w.w model Laptopa,dokladnie DV9074cl.Uszkodzeniu ulegla plyta glowna,wada fabryczna.Pech,ze sprzet ze Stanow.Uratowac sie nie udalo plyty glownej (kulkowanie ukladu) Posiadala uklad Gerforca. Jako zamiennik zakupilem plyte na zwyklej karcie graficznej bez dopalacza,rowniez pod procka AMD turiona. Plyta dedykowana do calej...

    Sterowniki i BIOS   05 Lis 2008 21:10 Odpowiedzi: 14    Wyświetleń: 12910
  • Sterownik silnika TA8435H z silnikiem 12V/czy sie da?

    Zaczałem robic sterowniki silnikow krokowych ktorych schemat jest na stronie i nie spojrzałem na parametry moich silnikow. Maja one 12V / 1A. Czy moge zastosowac do tych sterowników. Na stronie jest napisane od 24V do max. 40V. Moze miał juz ktos do czynienia z tymi sterownikami i podłaczał inne silniki do tych układow. Chodzi mi tylko o to czy uklad...

    Automatyka Przemysłowa   02 Sie 2010 08:46 Odpowiedzi: 9    Wyświetleń: 3263
  • prosty sterownik serwomechanizmu, zamykajacy po okreslonym czasie

    płetwa.samo sterowanie piecem temperatura,mam rozwiazane na prostym termostacie elektronicznym,a jaka sterowanie temperatura miarkownik regulujacy doplyw powietrza głownego.temp jest stala.proponuje jeszcze piec rozpalac od gory-same korzysci-mniejsze zanieczyszcenie powietrza, brudzenie kotla, wykorzystanie palnych gazow ktore ulatuja w komin w postaci...

    Robotyka Modelarstwo i CNC   13 Mar 2012 22:59 Odpowiedzi: 6    Wyświetleń: 3998
  • plc - Sterownik Plc Siemens LOGO kilka pytan o podpiecie

    Witam uczeszczalem jakis czas temu na kurs programowania sterownikow plc a dokladnie siemens simatic . Ale tam mielismy juz zmontowane uklady do programowania . Teraz mam w domu kabel do programowania sterownik logo I oprogramowanie. I chcialem sobie cos przypomniec z tego kursu. No poczatek prosty uklad dioda led zalączana przez czujnik ruchu. Moj...

    Automatyka Sterowanie PLC   07 Wrz 2015 23:16 Odpowiedzi: 2    Wyświetleń: 1893
  • Oprogramowanie do sterownika opisanego w magazynie CHIP

    Witam! Oto moje PCB do tego ukladziku.... a programy sa powyzej do zassania.... Pozdrawiam!

    Projektowanie PCB   06 Kwi 2010 07:38 Odpowiedzi: 14    Wyświetleń: 5853
  • Diora WS 504. Problemy z prockiem i ukladem LC 7821

    a wiec tak. podlaczylem diore do drugiego kompa i wszystko ok. podlaczylem do laptopa i tez wszystko ok wiec sie skupilem na kompie. Wina tego wszystkiego lezy napewno w kompie. takze wyciagnalem jeszcze raz k. dzwiekowa (SB Live) no i oczywiscie to samo. ale po dokladnym wyczyszczeniu sterownikow od SB live problem zniknal. czyli juz jest dobrze chodzi...

    Audio Serwis   03 Paź 2006 22:51 Odpowiedzi: 25    Wyświetleń: 5866
  • STerowniki PLC

    Poniewaz jestem zoltodziobem w tej dziedzinie szukam artykułów dotyczacych takich informacji jak: 1) Układy automatycznej regulacji - podstawowe, pojecia, bloki funkcjonalne , parametry, 2) Sygnaly wystepujace w ukladach automatycznej regulacji, 3) Mikroporocesorowe sterowniki swobodnie programowalne, 4) Moduly i bloki rozszerzen sterownikow PLC, 5)...

    Początkujący Elektronicy   06 Sty 2008 23:23 Odpowiedzi: 6    Wyświetleń: 3600
  • Sterowniki do starej płyty głównej z PC Optimusa BIW2B v1.1

    Jak wpsizesz w google model plyty go sie dowiesz. Producent dawno temu zabankrutowal. Uzyj Everest zobacz co masz zintegrowane i sciagnij sterowniki od producentow danych ukladow. Albo uzyj google i znajdziesz np. ta strone:

    Software serwis   16 Maj 2011 19:32 Odpowiedzi: 10    Wyświetleń: 2961
  • Sterownik ilości cykli. Skąd go wziąść, jak zrobić???

    ja bym to w takim ukladzie zrobil na licznikach modulo do n i wykonal uklad ktory by pozwolil za zatrzymanie cyklu jesli ktorys z czujnikow kranicowych w danym czasie oczywiscie troszke krutszym niz czas zadany na liczniki potem ukladnik odliczajacy ilosc cykli ktore przeszly oraz programowanie wszystkiego

    Automatyka Przemysłowa   24 Wrz 2008 16:21 Odpowiedzi: 14    Wyświetleń: 2196
  • Sterownik silnika DC: Mostek H

    Wszystko juz jest ok. Poniżej umieszczam dzialajacy schemat i zdjatka ukladziku... Jeszcze raz dzieki, pozdrawiam

    Elektryka Dla Każdego   17 Cze 2009 18:26 Odpowiedzi: 8    Wyświetleń: 6187
  • Sterownik na TDA 1085C - jak mam budować?

    Kolego to jest typowy uklad sterujacy silnik szczotkowy w pralkach z przed paru ladnych lat,wiec poolecam podpatrzec jak to bylo tam zrobione.Nawiasem mowiac mam mnostwo takich sterownikow.Moze sie na cos przydalem!

    Elektro Maszyny i Urządzenia   19 Lut 2010 18:10 Odpowiedzi: 3    Wyświetleń: 1169
  • Sterowniki do przejściówki USB RS232

    mam usb/vid_1a86&pid_7523\5&15b223e0... rev 0252 (kupilem cos najtanszego z alledrogo i niby ma tez naklejke ak7) ale przyszlo bez sterownikow w srodku ma zalany uklad- zainstalowalo sie ze sciagnietymi z netu do ch340 (plik 1680.zip z jakiejs rosyskiej strony, ma wprawdzie 13gb ale za to ma tez troche w srodku kodów zrodlowych ) (z...

    Sterowniki i BIOS   06 Wrz 2013 19:27 Odpowiedzi: 203    Wyświetleń: 400463
  • Dobór sterownika PLC do procesu

    wiadomo, że nie przetestuje się wszystkiego, ale aby wykonać porownania trzeba miec co porownywac, aby stwierdzic ze ten model jest najlepszy do tego celu trzeba wykazac wczym jest lepszy od innego. Bo rownie dobrze mogl dostac jakiegos S5 i wykazac rzetelnie ze jest najlepszy. Chociazby wziac kila rodzin ktore sa dostepne na uczelni bo nie uwierze...

    Automatyka Przemysłowa   15 Cze 2011 20:01 Odpowiedzi: 11    Wyświetleń: 4186
  • Skoda Fabia 1.9 sdi odblokowanie sterownika silnika

    Panowie ale wezcie sie w garsc przeciez kazdy z nas wie ze programowac flash napewno idzie z zewnatrz tylko jakim programem bo niewierze w to ze bosch bawi sie w programowamnie odzielnie ukladow.

    Samochody Elektryka i elektronika   27 Sty 2012 21:17 Odpowiedzi: 10    Wyświetleń: 5108
  • Budowa Sterownika, a dlugosci Magistral.

    Witam wszystkich, tworze ten temat w celu dowiedzenia sie o dlugosciach magistral oraz szukam porad, jako ze jestem amatorem w tej dziedzinie na pewno lepiej bedzie jak zaczerpne porad od ludzi ktorzy sie na tym duzo lepiej znaja, a wiec przejde do rzeczy. Planuje zrobic sterownik Pieca oraz Kolektorami. W sklad sterownika wchodzi 8 czujnikow cyfrowych...

    Mikrokontrolery AVR   09 Gru 2015 11:22 Odpowiedzi: 14    Wyświetleń: 2697
  • Identyfikacja diody AF - Problem z identyfikacją diody AF ze sterownika kamery B

    Hej. Mam problem z określeniem, co za dioda kryje sie pod oznaczeniem AF. Dioda znajduje się w module kamery tylnej od samochodu BMW F10. Ktoś może mnie naprowadzić na producenta tej diody, albo podpowiedzieć jaka dioda powinna znaleźć się w tym ukladzie. Z góry dziękuję za pomoc.

    Elementy Elektroniczne - zamienniki i identyfikacja   04 Lis 2021 11:00 Odpowiedzi: 2    Wyświetleń: 225
  • Sterownik do silników krokowych

    Witam ! :) A moze do sterowania wykorzystac komputer ? :D Ja sobie zrobilem taki ukladzik podlaczany do portu LPT komputera. Cztery transoptory 4N25 podlaczylem bezposrednio do wyjsc portu drukarkowego w kompie przez rezystory ograniczajace 1k, a po "drugiej" stronie rowniez przez takie rezystory driver z uszkodzonej drukarki iglowej 8) W drukarce drivery...

    Inne Serwis   30 Paź 2003 23:29 Odpowiedzi: 31    Wyświetleń: 9855
  • sterownik akwariowy

    poszukuje dwoch schematy dwoch rodzaji sterownikow akwariowych: 1. prosty sreownik do utrzymywania stalej temp wody w akwarium bez mikroprocesora itp poprostu analogowy na triaku lub przekazniku 2. prosty sreownik do utrzymywania stalej temp wody w akwarium z dodatkowym ukladem czasowym do sterowania oswietleniem akwarium Przeniesiony z "Szukam schematu"...

    Projektowanie Układów   08 Lis 2003 13:18 Odpowiedzi: 2    Wyświetleń: 3946
  • sterownik pieca co na 89 cxx51

    Ktos tutaj kiedys podsunal swietny pomysl takowego ukladu. Wysokoczestotliwosciowy mrugacz elektroluminescencyjny - powinno byc dobre, niedrogie i co najwazniejsze proste urzadzenie.

    Mikrokontrolery   20 Maj 2004 00:20 Odpowiedzi: 10    Wyświetleń: 3065
  • jaki przelacznik? (sterownik silnika unipolarnego)

    witam mam oto taki schemacik sterownika silniak unipolarnego i mam pytanie (jestem raczej laikiem elektronicznym) czym moge zastapic S1 tak zeby moc sterowac tym przelacznikiem za pomoca komputera - np. portu lpt. jest jakis odpowiedni przeloacznik ktorym moge przelaczac dwie linie za pomoca stanu wysokiego lub niskiego z portu lpt? chcialem tak zaadaptowac...

    Robotyka Modelarstwo i CNC   01 Lis 2004 10:40 Odpowiedzi: 1    Wyświetleń: 3962
  • Przejsciowka PCMCIA na ISA i karta WLAN Blad Sterownika

    Witam ! Kupilem sobie przejsciowke do kart PCMCIA na ISA podlaczylem do kompa i wszysko landie windows wykrywa (w2k pro): "Kontroler PCMCIA Plug end Play SCM SwapBox Family" Mam tez karte WLAN na PCMCIA Entersys RoamAbout na ukladzie Hermes: p/n CSIBD-AA-128 karta zasilana 5V i chyba karta 16 Bitowa. Po wsunieciu jej w slot PCMCIA windowsy wykrywa automatycznei...

    Komputery Hardware   16 Lis 2004 19:27 Odpowiedzi: 7    Wyświetleń: 2126
  • szukam sterownikow do plyty glownej GIGABYTE GA-7VASMFS

    Poszukaj na lub ,a najlepiej uzyj Everest (znajdziesz na google) zobacz jakie sterowniki sa Ci potrzebne i sciagnij od producentow danych ukladow lub poszukaj na google.

    Sterowniki i BIOS   17 Wrz 2014 11:48 Odpowiedzi: 14    Wyświetleń: 15233
  • seat toledo usterka ukladu abs

    Podepnij auto pod komputer zobaczysz co ci pokaze. Byc moze uszkodzone przewody do czujnikow bo sama pompa sie tak rzadko psuje ze male prwdopodobienstwo. Tylko ze mogles miec przybrudzone czujniki i dlatego ci swieci a wyczyszczenie nie powoduje zgasniecia tylko trzevba skasowac bledy w sterowniku. Pozdrawiam.

    Samochody Elektryka i elektronika   22 Sie 2005 09:34 Odpowiedzi: 3    Wyświetleń: 2418
  • sterownik at90s4433 do saa1057 POMOCY

    Witam mam problem z synteza okropnie pierdzi sprawdzalem ja jest w 100% sprawna zapreojektowalem plytke do projektu michallo uklad zaproramowalem dokladnie sprawdzilem czy wszystko dobrze polaczylem i jest ok wyswietlacz wszystko wyswietla wiec uklad dziala moga byc jakies bledy w programie ale nie znam sie na programowaniu ukladow wiec prosze was o...

    Radiotechnika Serwis   21 Wrz 2006 05:55 Odpowiedzi: 17    Wyświetleń: 2567
  • Bosch KGV-2605-Sterownik lodówki 9.981.310.017-5

    Witam Mam problem z tym ukladem, mianowicie "cos" sie spalilo... ale co? Zostal tylko wegiel, to "cos" znajduje sie po prawej stronie tylnej czesci tego sterownika. Moze ma ktos schemat tego sterownika? Jesli nie to moze ktos wie co tam bylo i sie spalilo? Z tego co widze to "cos" bylo podlaczone do drugiej sciezki ktora sie podlacza do przewodow, od...

    AGD chłodnictwo   24 Sty 2006 17:33 Odpowiedzi: 9    Wyświetleń: 8851
  • Sterownik silnika krokowego na podczerwień do...

    Zrezygnuj z silnika krokowego i zastosuj tak jak pisze Hornet60 silnik od wycieraczek zamochodowych. Do tego celu jest najlepszy. Ja zamias liczenia obrotow zastosowal bym poprostu dwa magnesy wszyte lub naklejone na rolete. Do tego kontaktron umieszczony przy rolecie opuszczajacej sie w dol. Jest to chyba najmniej skomplikowany uklad. W takim rozwiazaniu...

    Mikrokontrolery   25 Cze 2006 20:00 Odpowiedzi: 28    Wyświetleń: 5923
  • Sterownik przekaznika, pomocy

    Tak przepraszam napiecie cewki powinno byc 6 V a przekaznikow musze zastosowac od 2 do 4 aby miec 8 stykow buduje zasilacz do przedwzamcniacza RIAA promotor zazyczyl sobie bateryjnego, podczas pracy przedwzmacniacza baterie musza byc polaczone szeregowo, lecz aby po jego wylaczeniu mozna je bylo naladowac trzeba je przelaczyc na polaczenie rownolegle....

    Projektowanie Układów   19 Kwi 2006 17:35 Odpowiedzi: 6    Wyświetleń: 1170
  • E-mu PC545. Szukam sterowników. Karta oparta na tym układzie, co SB Live.

    Poszukuje sterowniki do E-MU studio. Opis na elektronice PC545. gdzie to znaleść ?? a Możę ktoś ma do tego stery ?? pomóżcie ?? Karta oparta jest na ukladzie co SB Live! Ale na sterowniku od niej nie działa.

    Software serwis   18 Wrz 2006 00:03 Odpowiedzi: 1    Wyświetleń: 1399
  • Sterownik do Rowerka treningowego HATRICK

    Padl mi sterownik do tego rowerka, niestety nie moge znalesc serwisu w PL tego producenta (sprzet jest popularny w DE), wiec postanowilem 'zmontowac' swoj sterownik. I tu moje pytnie, na czym byscie proponowali wykonac taki uklad? Przepraszam za tak 'otwarte' pytanie, ale nie chcialbym otwierac juz otwartych drzwi, stad moje pytanie do bardziej doswiadczonych...

    Automatyka Przemysłowa   07 Lis 2006 21:58 Odpowiedzi: 0    Wyświetleń: 831
  • DVD Redsatar 233 - sterownik w zasilaczu

    Mam uszkodzony zasilacz a konkretnie uklad. I mam problem bo niewiem co to jest. Przepisałem wszystko co na nim pisze : ICF 2A0565 0401L0P XK314066K01 Może ktoś wie co za to wsadzić albo gdze kupic orginał??

    DVD/VCR/Kamery/BD Serwis   07 Gru 2006 23:55 Odpowiedzi: 2    Wyświetleń: 1134
  • prosty sterownik silnika unipolarnego

    Nie jeszcze nie. Ale znalazlem stary schemat sterownika na ukladach 74 i po nowym roku zrobie i bede testowal.

    Automatyka Przemysłowa   22 Gru 2006 11:14 Odpowiedzi: 10    Wyświetleń: 2307
  • kilka pytan i zadan na sterowniki plc

    1. Na czym polega dzialanie sterownika PLC. Pokaz, na przykladowym programie roznice w dzialaniu sterownika Modicon i Simatic. 2. W slowach MW20 i MW22 znajduja sie zmienne A i B. wyliczyc wartosc (a-b)*3 i umiescic ja w slowie MW30. zalozyc ze uzywana jednostka nie ma zaimplementowanej operacji mnozenia, tylko dodawanie i odejmowanie. czy mozna wynik...

    Automatyka Przemysłowa   01 Mar 2007 08:47 Odpowiedzi: 14    Wyświetleń: 3012
  • Sterownik silnika 3F BLMC wytłumaczenie zasady dzialania

    Witam ! Posiadam czesc schematu ktorej zadaniem jest sterowanie silnikiem 3F BLMC, uzywanych w modelach RC. Wiem ze jest duzo gotowych wsadów w formie plików HEX, ale chcial bym napisac swoj wlasny, ciekawi mnie zasada dzialania takiego sterownika. Bylbym wdzieczny gdyby mi ktos wytlumaczyl jak sterowac takim ukladem jak w zalączniku, jak bedzie wygladal...

    Mikrokontrolery   27 Paź 2007 12:40 Odpowiedzi: 20    Wyświetleń: 3849
  • Mini wiertarka plus sterownik.

    moglbys podeslac jakis schemat sterownika? silnik pracuje na 18V i pobiera 700mA.. przy rozruchu pobierany prac skacze az do 1.30 A.. i stopniowo maleje.. Co do osi Y .. myslalem nad kupieniem listwy zebatej.. do tego wlasnie silnik krokowy no i sterwnik.. Najwazniejsze chyba tutaj jest dobranie odpowiedniej predkosci opadania .. przy szybkim opadaniu...

    Projektowanie Układów   04 Lis 2007 20:59 Odpowiedzi: 4    Wyświetleń: 2715
  • sterownik światła - Lm 7805 sie grzeje

    to, że układ się grzeje nie oznacza jeszcze niczego złego, wydziela się na nim moc P=U*I i to jest normalne. Gorzej gdyby się palił. Gdy mierzysz "między + i -" i omomierz pokazuje 5k też nic nie oznacza. Na pewno "między + i -" są półprzewodniki i nie da się poprawnie zmierzyć rezystancji wejściowej ukladu.

    Projektowanie Układów   19 Gru 2007 16:31 Odpowiedzi: 6    Wyświetleń: 1458
  • Sterownik do przewijarki folii

    Ja wykonalem taki uklad do zwijania materialu w czasie produkcji .Uklad startuje zwiekszajac obroty ,czas jest ustawiany jaki sie chce na falowniku ( raz sie go ustawilo ),gdy dochodzi do konca zwijania zwalniaja sie obroty silnika napedowego i gdy dojdzie do wymiaru ustawionego uklad sie zatrzymuje .Rozwiazane to jest na jednym ukladzie zliczajacym...

    Automatyka Przemysłowa   23 Cze 2008 01:41 Odpowiedzi: 7    Wyświetleń: 2541
  • Szukam sterowników SOLTEK SL-75MRN-L

    Zamiast "szukac" lepiej sciagnij sterowniki ze stron producentow danych ukladow lub poszukaj na google. Uzyj Everest Home zeby sprawdzic co dokladnie Ci potrzebne, pozniej wystarczy wkleic model w google i sciagnac sterowniki.

    Software serwis   06 Wrz 2008 20:49 Odpowiedzi: 4    Wyświetleń: 1965
  • Sterownik bramy typu ZDZ-2SAW.

    Moze moja wypowiedz nie wniesie za wiele do tematu ale rowniez mam ten sterownik ZDS-2SAW. Jesli dobrze odczytalem instrukcje to zasilanie ukladu powinno wynosic 12-14V 100mA. Zauwazylem podobna przypadlosc, a mianowicie gdy podpialem pod uklad dwa silniki 12V to wlaczanie ukladu odbywa sie bez przeszkod, natomiast wylaczenie nie zawsze sie udaje. Z...

    Automatyka bram, szlabanów, rolet   23 Kwi 2010 16:41 Odpowiedzi: 19    Wyświetleń: 5712