REKLAMA

sweep generator

Znaleziono około 68 wyników dla: sweep generator
  • Interfacing AVRmicrocontroller to ADC and waveform generator

    I am doing first project with AVR microcontroller ATmega32-A. In this project I am interfacing with ADC (AD7798), waveform Generator(AD9833), Multiplexer, Capacitive sensor, Demodulator. I have attached simple block diagram for understanding purpose. I have to generate sine wave and give it to sensor and in return I will get sensor output with some...

    Mikrokontrolery AVR   15 Lut 2017 13:34 Odpowiedzi: 1    Wyświetleń: 744
  • Jak stworzyć z generatora dwu kanałowego i oscyloskopu wobuloskop ?

    1. Generator musi mieć możliwość przemiatania częstotliwości. 2. Generator musi mieć wyjście impulsów wygaszania, a przynajmniej było by dobrze jakby miał. 3. Oscyloskop musi mieć wejście dla toru X 4. Oscyloskop musi mieć wejście zewnętrznego wygaszania. 5. Oscyloskop musi mieć kanał wejściowy. Aby to zadziałało, musisz podłączyć sweep out z generatora...

    Warsztat elektronika   22 Kwi 2012 23:20 Odpowiedzi: 1    Wyświetleń: 3062
  • Czy dany układ z diodami jest właściwy? Modulator generatora 10 MHz.

    Wersja generatora strojeniowego była z cewką, przestrajana zewnętrznym napięciem 0,5V - 28V. Działa to znakomicie i już długo mi służy. No właśnie. Może kwestia jakieś tylko modernizacji tego co działało. Wydawało mi się, że zastępując cewkę kwarcem osiągnę bez problemu zmodulowaną nośną 10,7 MHz. Ale tu już wyżej koledzy definitywnie pogrzebali nadzieję...

    Początkujący Elektronicy   20 Maj 2022 13:46 Odpowiedzi: 18    Wyświetleń: 525
  • REKLAMA
  • Rigol DG1032Z - Jak działa generator arbitralny

    Trudno chyba wyobrazić sobie pracę elektronika, który nie dysponuje choćby najprostszym generatorem. Obok miernika uniwersalnego, zasilacza i oscyloskopu jest to podstawowe narzędzie warsztatowe. W dzisiejszych czasach elektroniczny sprzęt pomiarowy został niemal w stu procentach zdominowany przez przyrządy wykorzystujące technikę cyfrową. Trend ten...

    Mikrokontrolery   01 Wrz 2017 08:41 Odpowiedzi: 24    Wyświetleń: 13878
  • Generator arbitralny Rigol DG922 ProSponsorowany

    Generator arbitralny Rigol DG922 Pro Weż udział w konkursie NDN     "Oscyloskop bez granic" do 31 Marca 2024 Do wygrania atrakcyjne urządzenia ! Kliknij tu i dowiedz się więcej Rigol w natarciu. Po oscyloskopowych nowościach w postaci rodzin DHO800 i DHO1000 przyszedł czas na generatory. Atak na konkurencję jest mocny, bo parametry opisanego w artykule...

    Artykuły   12 Mar 2024 21:23 Odpowiedzi: 2    Wyświetleń: 1632
  • REKLAMA
  • Generator funkcyjny

    Witam stoje przed wyborem generatora funkcji do mojego skromnego warsztatu i tutaj zwracam sie do was o sugestie co do generatorka.Jak narazie znalazłem dwa które mnie zaciekawiły pierwszy: SFG-2110 Zakres częstotliwości: 0,1Hz - 10MHz Przebiegi: sinus, trójkąt, prostokąt Wyjście TTL i CMOS Rozdzielczość: 100mHz Wysoka stabilność częstotliwości:...

    Inne Co kupić?   05 Cze 2007 09:02 Odpowiedzi: 1    Wyświetleń: 2601
  • Wnętrze generatora FY6900-60 MHz, czyli rozpoznanie przed modyfikacją

    Cześć, dołączam się do wątku... U mnie tez podobny błąd i nie mogę zrobić update tego softu z 6.3 do 6.5 Zastanawiam się czy to nie jakieś zabezpieczenie programu bo w oryginale firma FeelElec nie robi generatorów 100MHz tylko 60MHz a mój z alibaby wyprodukowała firma (przynajmniej na naklejce) Kmoon. Na urządzeniu wersja firmware V1.3.1.3, przy odpalonym...

    Wnętrza urządzeń   30 Lis 2023 11:07 Odpowiedzi: 107    Wyświetleń: 9834
  • Generator funkcyjny.

    Nie nie - Nie chodzi o wahania napięcia zasilania (czyli zniekształcenia). Chodzi o to czy na wyjściu generatora da się zauważyć przebieg napięcia zasilania. Robisz to tak: Generator ustawiasz na sinusoidę o f >> 50Hz. Ustawiasz oscyloskop na tak długą podstawę czasu, żebyś był w stanie zaobserwować przebieg 50Hz. Oscyloskop przełączasz na AC. Obserwujesz...

    DIY Konstrukcje   24 Paź 2011 19:26 Odpowiedzi: 33    Wyświetleń: 31852
  • Potrzebuje generatora akustycznego z automatycznym

    WinISD ma taką funkcje. Jako output mode wybierasz slow sweep. Podajesz od jakiej do jakiej częstotliwości ma to iść i program przejdzie przez całe pasmo. Chyba, że ci chodzi o podanie szumu(pełne pasmo naraz). Wtedy poszukaj w dziale audio bezpośrednio na stronie, nie pamiętam tylko który szum będzie ci potrzebny.

    Audio Estradowe, Sceniczne, Studyjne   28 Maj 2005 00:00 Odpowiedzi: 4    Wyświetleń: 864
  • Charakterystyka przestrajania generatora VCO

    Mój problem jest następujący: Dla poniższego schematu mam zasymulować charakterystykę przestrajania generatora VCO: W Psice używam symulacji DC sweep... I nie wiem jak stworzyć wykres f(uwe) Znalazłem taki temat: Ale jeżeli w mojej symulacji próbuje użyć funkcji PERIOD to wywala mi błąd (goal function not evaluated due to goal function search failure)...

    Początkujący Elektronicy   07 Sty 2013 10:30 Odpowiedzi: 2    Wyświetleń: 2052
  • POF 10 - Nie działa generator pomocniczy(brak przebiegu na wyjściu)

    Witam, nie działa generator jak w temacie.Generator podstawowy generuje wszystkie przebiegi. Po włączenie sweep nie przemiata, reaguje na potencjometr od sweep zmianą generowanej częstotliwości(brak przemiatania). Na wyjściu generatora pomocniczego brak sygnału lub bardzo zniekształcony( w zależności od położenia potencjometrów od ustaw.częstotliwości)....

    Warsztat elektronika   25 Sty 2017 22:08 Odpowiedzi: 1    Wyświetleń: 834
  • Tabor Electronics 5325 - generator funkcyjny, fali na PCI i sekwenser w jednym?

    Tabor Electronics zaprezentował jednokanałowy generator PCI – model 5325. Urządzenie łączy w sobie funkcje generatora funkcyjnego, generatora fali o dowolnym kształcie i sekwensera. Pomimo dużych możliwości obsługa karty jest bezproblemowa i intuicyjna dzięki dołączonemu oprogramowaniu ArbConnection. 5325 może zostać wykorzystany przy testowaniu...

    Newsy   04 Sie 2011 01:12 Odpowiedzi: 1    Wyświetleń: 1522
  • [Sprzedam] Generator funkcyjny SIGILENT SDG810.

    Witam, mam na sprzedaż generator funkcyjny firmy sigilent SDG810 w świetnej cenie. Generator w stanie idealnym, prawie nie używany, folia ochronna na ekranie. Generator objęty jest gwarancją przez około rok. Generator służył do testowania układów przy nie wielkich częstotliwościach. Rzeczywisty stan przedstawiony na zdjęciach. :D W skład zestawu wchodzi:...

    Ogłoszenia Elektronika   03 Kwi 2017 19:33 Odpowiedzi: 0    Wyświetleń: 1332
  • Generator arbitralny Rigol DG1062Z - Recenzja

    Witam szanownych kolegów Nieadwno kupiłem generator RIGOL DG1062Z. Dość długo zastanawiałem się nad wyborem generatora, wahałem się między tym a droższym Rigolem DG4000. Niestety w sieci nie znalazłem zbyt wiele na temat DG1062Z w tym na eevblog-u), może dlatego że jest to dość nowy produkt. Postanowiłem dla potomnych i dla ludzi którzy będą mieli podobny...

    Artykuły   02 Kwi 2018 22:23 Odpowiedzi: 22    Wyświetleń: 5286
  • REKLAMA
  • Velleman PCSGU250 (oscyloskop i generator)

    Proszę o opinie o powyższym sprzęcie. Jest to oscyloskop 12MHz i generator USB w jednym. Cena ok. 850,00zł. Cechy: generator funkcyjny: generator wzorcowy stabilizowany kwarcem przebiegi: sinus, trójkąt, prostokąt fabrycznie przygotowana baza przebiegów np: sin(x)/x, DCV, sweep, ... oscyloskop: funkcje auto set-up funkcja pre-trigger odczyt: True RMS,...

    Warsztat co kupić?   07 Lut 2010 20:31 Odpowiedzi: 15    Wyświetleń: 6469
  • GENERATOR SIGLENT SDG1025 FAIL. Nieprawidłowe działanie.

    Jak mam zbiorówkę 8x12 płytek i jeździ głowica pomiarowa XY z igłami pomiarowymi i trzeba testować To jest sprzęt typowo amatorski, do zastosowań profesjonalnych ma w cenie przynajmniej jedno zero na końcu więcej.... To tak jakbym kupił rower za tysiąc który w papierach ma że skręca i ma hamulec, i w trasie pokapowałem się że jak skręcam to niezbyt...

    Warsztat elektronika   13 Sie 2017 09:42 Odpowiedzi: 29    Wyświetleń: 2535
  • Generator AVR DDS v. Artur K.

    Chińczycy też całkiem ładnie to zrobili: Jako płytka - tak. Ale nie jako płytka z którą można coś zrobić (czytaj wstawić w obudowę, dołożyć zasilacz itp). Takie płytki to sztuka dla sztuki - dopóki nie ma możliwości dokończenia (czyli włożenia w jakąś obudowę i jak wyżej) - co z tego że działa, jak nic więcej z tm nie zrobisz? Nie wyobrażam sobie jakoś...

    DIY Warsztat   13 Kwi 2018 06:36 Odpowiedzi: 20    Wyświetleń: 10812
  • Cyfrowy generator częstotliwości dźwięku z regulacją głośności

    Witam, czy kolega zastanowil sie co napisal? "4. zakres czestotliwosci 0Hz - 1GHz, " - chyba sobie kolega nie zdaje sprawe z tego co to jest 1GHz? I to przeczy temu "1. wejscie na glosniki stereo - jack 3,5 ", jakie wyjscie ? sygnalu o czestotliwosci 1GHz na glosniki ? Przemysl co napisales i sprecyzuj o co ci chodzi. Jezeli to poprostu pomylka i zapewne...

    Inne Szukam   28 Wrz 2020 12:32 Odpowiedzi: 2    Wyświetleń: 330
  • Dwukanałowy generator DDS 600kHz na ESP32

    Pracując nad kolejnym projektem stwierdziłem, że brakuje mi drugiego generatora sygnałowego. Tak zrodził się pomysł, aby zrobić na szybko generator na jakimś gotowym, tanim mini-module, do tego wyświetlacz LCD2x16 i enkoder z przyciskiem do wyboru parametrów. No i oczywiście oprogramowanie sterujące wszystkim. Po krótkim przeglądzie opcji na placu...

    DIY Konstrukcje   13 Lis 2023 19:22 Odpowiedzi: 40    Wyświetleń: 6105
  • Podłączenie generatora FY8300S i oscyloskopu Hantek DSO4202C jako wobuloskop

    ...a masz wyjście sync out? Nie też nie ma, ja mam prostą wersję -(FY3200S-24M)-24MHz-Dual-channel-Arbitr... ale sweep ma, tylko że to mało użyteczne. Ma wyjścia ttl z tyłu, muszę zobaczyć co on tam daje ale spodziewam się że to samo co na analogowych. Tak prawdę mówiąc...

    Warsztat elektronika   05 Lis 2022 19:34 Odpowiedzi: 19    Wyświetleń: 1443
  • generator Juntek PSG9080 reanimacja po czarnym ekranie.

    Do tego co mi potrzeba - wystarcza. Zabrakło mi sygnału rampy przy przemiataniu (sweep). enkoder zmiany wartości zaczyna szwankować. nie zapamiętuje ostatnich nastaw - by zapamiętać należy zapisać do pamięci M00 - generator startuje z nastawami z M00 Pierwsza wersja programu na pc do obsługi generatora nie startuje gdy w ustawieniach regionalnych systemu...

    DIY Warsztat   24 Kwi 2023 18:54 Odpowiedzi: 5    Wyświetleń: 3075
  • Jaki najtańszy częstościomierz - pomiar 32768Hz przy budowie generatora

    Czytaj datasheet - tam masz dokładnie podane, jaki zakres napięcia regulowanego przez P1 ma być podawany na ICL. Nie che mi się sprawdzać, ale chyba od V+ do 2/3 V+ - i to jest ustawiane przez dzielnik jaki tworzą R12 i P1. W razie potrzeby wyreguluj te napięcia przez dobór R12 (rezystancje potencjometru i R12 też mają swoje tolerancje, być może masz...

    Warsztat co kupić?   16 Lut 2012 17:09 Odpowiedzi: 33    Wyświetleń: 9335
  • Konfigurowalny UARTem generator częstotliwości by piotr_go

    Przydało by się zrobić sweepa i sprawdzić jak się amplituda sygnału zmienia Przed chwilą sprawdziłem na swoim generatorze; (at)14MHz ~3Vpp dla (at)100MHz ~450mV. Niestety soft dla Arduino Nano od RS-HFIQ blokuje wyjście generatora dla częstotliwości z poza pasm amatorskich. Kształt przebiegu wyjściowego też się zmienia zależnie od częstotliwości. Poniżej...

    DIY Konstrukcje   25 Sie 2021 13:28 Odpowiedzi: 27    Wyświetleń: 7779
  • [Zlecę] Moduł generatora szumu, sweep sine ze zdalnym sterowaniem i EQ

    Witajcie :) szukam jakiejś firmy, która produkuje/może wykonać na zamówienie moduł, który będzie posiadać: - generator szumu różowego, białego, sweep sine, chirp, ew. MLS, - korekcję dla pasm 1/3-oktawy (+/- 12 dB), - filtr dolno- i górnoprzepustowy 24 dB/okt. o ustalonej częstotliwości, - limiter, - skokową regulację głośności (-60 dB do + 3 dB), -...

    Projektowanie Bazar   17 Lis 2014 20:22 Odpowiedzi: 0    Wyświetleń: 822
  • Szukam generatora 15-40 kHz z funkcją sweep - wymagania i preferencje

    Proszę o poradę: Potrzebuję generator o wymaganiach minimalnych: sinus w zakresie 15kHz-40kHz, regulacja amplitudy, funkcja przemiatania z regulacją f-start, f-end i czasu cyklu (wystarczy 1-10 sek). Szersze zakresy mile widziane ale niekonieczne. Może być KIT ale lepiej w obudowie, mały, tani. Coś gotowe. W sklepach (at) jest tego sporo ale wiedza...

    Inne Co kupić?   20 Sty 2019 11:34 Odpowiedzi: 4    Wyświetleń: 423
  • DDS Wobulator Arduino - Potrzebuję wobulator DDS do 100MHz

    AD9851 do Arduino zamówiony. Chyba nie jest problemem zrobić Sweep Generator programowo ? Znalazłem w sieci stronę ze sweep generatorem Może ktoś zerknąć na to i powiedzieć czy się nada ? Jest też udostępniony kod. Arduino dotarło + AD9851 program wgrany i działa. Zdjęcia i filmik z uruchomienia wobulatora. Tu przebieg z filtra ceramicznego 10.7MHz....

    Warsztat elektronika   19 Lut 2017 17:31 Odpowiedzi: 14    Wyświetleń: 6264
  • Wobulator - do czego służy

    Czasem wobuloskop to nie jest sweep-generator (wobulator) z analizatorem widma (a nie z oscyloskopem)? Nie wiem, nie miałem nigdy styczności z tym urządzeniem. Raczej jak już to zestaw generator szumu + analizator widma do badania charakterystyki wzmacniacza.

    Początkujący Elektronicy   13 Cze 2007 11:33 Odpowiedzi: 5    Wyświetleń: 12176
  • Wobulator EICO - Sonda detektora.

    Cześć! Kombinuję z ciekawości, czy i na ile uda mi się podciągnąć parametry sprzętu. A z przystosowaniem sondy do układów lampowych chodziło mi o to, że obecnie maksymalne napięcie wejściowe sondy to 5-7V. Natoimiast amplituda napięć w driverach lamp mocy to kilkanaście, kilkadziesiąt, i więcej V. Wobulator ma służyć do strojenia odbiorników lampowych...

    Warsztat elektronika   15 Paź 2009 17:23 Odpowiedzi: 11    Wyświetleń: 7162
  • Oscylacje gasnące - symulacja na 89S52

    Z tego co widzę to amplitudy się w nim nie ustawia, ale można zrobić z niego sweep generator. Aby regulować amplitudę wystarczy podłączyć wzmacniacz operacyjny w odpowiedniej konfiguracji i np. jednym portem nastawiać wzmocnienie (8bitów rozdzielczości). Metod jest wiele :)

    Mikrokontrolery   29 Sty 2008 13:55 Odpowiedzi: 14    Wyświetleń: 1833
  • szukam zchemat zasilacza pradu zmiennego 12V 35A 1-30 000Hz

    Szukaj pod falowniki albo sweep generator albo VCO albo DDS ale wątpię czy znajdziesz regulację w tak szerokim zakresie (a jeśli już to czy będzie łatwy do wykonania), napisz co kombinujesz może ktoś na forum będzie miał inny pomysł na rozwiązanie.

    Inne Szukam   15 Sty 2009 14:46 Odpowiedzi: 6    Wyświetleń: 1885
  • Zbudowany Sub pod 1kw 18cali głośnik i szału nie ma... :(

    w tym linku co podałeś to... faktycznie może nie "zbił deski" (widać inne masz techniki budowy) ale ...porządnie zasymilował, zmodernizował projekt i złożył i GRA bo czemu miało by nie, w subie ja przynajmniej zwrotnic nie montuje oczywiście link podałeś do suba B&C bo było wygodniej dla twojego stanowiska niż podać link to tego tematu: ...rzeczywiście...

    Nagłośnienie Profesjonalne   14 Lut 2011 19:22 Odpowiedzi: 80    Wyświetleń: 10397
  • Jak poprawnie rozwiązać ćwiczenie 2.16 z Sztuka Elektroniki?

    Poprawiłem układ tak, że wygląda tak jak na rysunku. Popsułeś a nie poprawiłeś :wink:. W zadaniu jest wyraźnie że 6,2k ma być równolegle do obwodu rezonansowego. Zresztą tak miałeś w pierwszym poście tutaj. A to że Tobie oscyluje to wynika też z tego że w falstad cewki i kondensatory są "za bardzo idealne" (trzeba czasem dodać jawnie jakąś oporność...

    Nauka Elektroniki, Teoria i Laborki   17 Lip 2019 13:47 Odpowiedzi: 5    Wyświetleń: 1062
  • Denon TU 560 nie stroi stacji do stereo

    FM stereo alış , tuner ayarlamaları normalde manual yapmak çok zahmetli ve kör uçuşu olur. Fm tuner ve IF ayarlamaları AM IF devrelerinin ayarlamaları gibi basit değildir. FM tuning , (alignment) için Sweep Generator (Wobbulator) ve Osiloskop yada markerli Spectrum Analyzer gereklidir ama çok kişide yok. FM radyo oynuyor ama...

    Audio Początkujący   03 Gru 2021 18:30 Odpowiedzi: 12    Wyświetleń: 2700
  • Gadżet jakich mało, świecidełko na biurko - analizator widma z funkcją zegara na wyświetlaczu VFD

    Od kilkunastu lat wraca moda na wszelakiego rodzaju zegary czy wskaźniki dźwięku oparte o lampy Nixie i wyświetlacze VFD, wykonane jako DIY i stylizowane na konstrukcje retro, mogą cieszyć oko będąc ozdobą naszego biurka czy innego mebla :D. Niestety, ceny głównych podzespołów bywają szokujące :-(. Przeglądając różne portale z elektroniką, wpadł mi...

    Tematy tygodnia   07 Lut 2024 06:43 Odpowiedzi: 28    Wyświetleń: 2277
  • Pomysł na wobulator - docelowa częstotliwość 433MHz.

    umieścić dane w tablicy kalibracyjnej. to znakomity pomysł!!!! Albo po prostu tradycyjny syntezer. masz na myśli syntezę DSS?? Jeżeli ma to być tradycyjna synteza częstotliwości to nie chce mi się w to bawić. Przede wszystki - ma być prosto sqtecznie i tanio. Generator LC jest prosty i tani :) Mapowanie napięcia to dobry pomysł.Tablicę kalibracyjną...

    Radiotechnika Serwis   07 Maj 2007 09:34 Odpowiedzi: 3    Wyświetleń: 2512
  • Wyświetlacz widma audio (ESP32, WS2812B)

    Dziękuję kolegom za dobre słowo :) Budowałem to od jesieni zeszłego roku. Mnóstwo różnych problemów i testów. Wydruki próbne, dobór matówki, odległośc od matówki i inne... Wykonanie bardzo ładne, pokaż sweep 20Hz-20kHz to będzie można ocenić rzeczywistą przydatność. Na życzenie kolegi filmy z generatorem. Nie mam generatora sprzętowego więc posiłkowałem...

    DIY Konstrukcje   31 Gru 2023 14:29 Odpowiedzi: 62    Wyświetleń: 10734
  • ITERACJA - Powieść SF w odcinkach. Tom 1, 26 odcinków.

    Dear readers, As the first part of the book had been published we have decided to let all foreign readers read the sample of the book in english. However the translation may not be perfect, it may give you an impression on the whole book. The remaining part of the book has not been translated so far, so if you are interested, you may translate it further...

    HydePark opowiadania, powieści   02 Wrz 2020 16:20 Odpowiedzi: 74    Wyświetleń: 7035
  • Firmware upgrade Rigol DS1054Z oraz jednostek identyfikujących się jako DS1104Z

    Sprawdzaliście po aktualizacji oraz rekalibracji działanie wewnętrznego generatora do regulacji sond? U mnie pojawiły się tam jakieś cykliczne śmieci: Oba kanały podłączone fabrycznymi sondami do wyjścia generatora. Parametry pomiaru Model:DS1054Z SN:DS1ZA19522xxxx Manufacturer:RIGOL TECHNOLOGIES Board Ver:0.1.4 Firmware Ver:0.2.3.12...

    Artykuły   01 Lis 2023 16:43 Odpowiedzi: 24    Wyświetleń: 2694
  • Jak w miare prosty sposob skonfigurować nadajnik (antenę) do badania odporności

    ... Problem z laboratorium EMC jest taki, że jest drogie i mało wygodne - pomyślałem więc o skleceniu czegoś na wzór takiego mini-laboratorium własnym sposobem. ... Jednym slowem, lubisz piwo, a ze jest drogie, wpadles na pomysl zbudowania sobie mini-browaru, tak? Ale browaru nie zbudujesz za kieszonkowe , ponadto brakuje Ci know-how , na co wskazuje...

    Początkujący Elektronicy   17 Wrz 2011 19:52 Odpowiedzi: 7    Wyświetleń: 1791
  • VCO-sinus w układzie scalonym

    "The frequency of the waveform generator is a direct function of the DC voltage at Terminal 8 (measured from V+). By altering this voltage, frequency modulation is performed. For small deviations (e.g. ±10%) the modulating signal can be applied directly to pin 8, merely providing DC decoupling with a capacitor as shown in Figure 5A. An external...

    Początkujący Elektronicy   17 Wrz 2012 14:51 Odpowiedzi: 6    Wyświetleń: 1701
  • KIT AVT 2196

    nothing found about BB612 (strange....). data sheet of BB809: BB809 capacitance vs. voltage: (according to chart in data sheet) 1V - 42pF 12V - 9 pF some computations done in MathCAD, et voila: c31=175pF, c29=180pF, L6=10uH For given values, the frequency sweeps from 3.481MHz to 3.715MHz, with tuning voltage 1V - 12V. You must be very careful with elements'...

    Radiotechnika Początkujący   22 Mar 2013 13:01 Odpowiedzi: 7    Wyświetleń: 5898
  • Oscyloskop Siglent SDS1202X-E - Uzyskanie modulacji więcej w temacie

    Witam, kupiłem oscyloskop Siglent SDS1202X-E. To kolejny po Rigolu 1054Z. Jestem z niego zadowolony. Mam jednak pytanie obecnie dysponuje generatorem Siglent SDG805, pasmo przenoszenia maksymalne 5MHz. Ale pełne opcje. Sweepe co producent pokazał udało mi się osiągnąć. Chciałbym otrzymać takie coś, taką zakładam modulację AM (może źle zakładam). :)...

    Początkujący Elektronicy   07 Maj 2018 11:02 Odpowiedzi: 2    Wyświetleń: 996
  • ATmega8 - Prosty program z wykorzystanie timera 8bit.

    Mega8 faktycznie nie ma OC0 (CTC dla T0), ale już Mega88 i Mega168 mają... sygnał wyjściowy komparatora jest fizycznie dostępny na pinie, nie trzeba go generować programowo. Ostatnio potrzebowałem zrobić taki generatorek na AVR: [url=][ATTiny2313][BASCOM] frequency sweep, przemiatanie częstotliwości

    Mikrokontrolery AVR   15 Gru 2013 17:40 Odpowiedzi: 8    Wyświetleń: 1587
  • Coron DS8 - klon syntezatora perkusyjnego produkcji Gigantora

    Próbę stworzenia mojej pierwszej perkusji elektronicznej podjąłem jakoś w roku 2005 – ze względów oczywistych skazany był jednak na niepowodzenie. Niedawno moje marzenia odżyły i zabrałem się za stworzenie perkusji analogowej. Brzmienie takiego urządzenia jest dość specyficzne i niekoniecznie ma wiele wspólnego z konwencjonalną perkusją. Myślę,...

    DIY Konstrukcje   30 Maj 2013 19:55 Odpowiedzi: 9    Wyświetleń: 8626
  • Magnetofon szpulowy - renowacja i modyfikacja sprzętu ZK-147

    Nie wiem ile w tym prawdy natomiast wiem że przy nagrywaniu na taśmę z RMF-u VUmetry w magnetofonie potrafią prawie stać w miejscu co raczej dobrze o zakresie dynamiki utworów granych w tej stacji nie świadczy ;) Jak wszędzie - RMF nie jest tu żadnym wyjątkiem - dosył audio po cyfrowych liniach kompresja w stylu mp3 a później kompresja by poprawić...

    DIY Poczekalnia   02 Sie 2016 15:17 Odpowiedzi: 64    Wyświetleń: 16659
  • Sygnał pomiarowy w Speaker Workshop

    Witam. Bawię się w pomiary głośników programem Speaker Workshop i szukając wszelkich informacji do programu natknąłem się na artykuł o zmianie typu sygnału testowego: link do całego artykułu: "Tworzenie sygnału pomiarowego Najlepszym rodzajem sygnału do pomiarów charakterystyki częstotliwościowej urządzeń audio jest wobulowany sygnał sinusoidalny, o...

    Głośniki i Zestawy Głośnikowe   26 Sie 2018 13:51 Odpowiedzi: 5    Wyświetleń: 606
  • Analizator widma w.cz na PC

    Kliknij na mixer ,ten głośniczek na pasku.Tam wybierz opcje ,właściwości i zaznacz nagrywanie.Odfajkuj "zaznacz" przy wejściu liniowym bo na pewno masz na mikrofonowym.To nie jest kwestia ustawienia potencjometru tylko wyboru wejścia.W Spectra zrób Options/Scaling i zaznacz przy Amplitude Axis Linear ,wtedy nie będzie w dB ,może być w woltach albo procentach.Wolty...

    Software serwis   21 Mar 2005 12:05 Odpowiedzi: 15    Wyświetleń: 5019
  • Nadajnik - Jak zbudować nadajnik 0,5 -2 MHz

    Chyba źle się wyraziłem zależy mi abym mógł zmieniać częstotliwości ww. zakresie. Czy taki generator się nada Regulamin pkt 3.1.18 .

    Radiotechnika Początkujący   09 Sie 2014 14:57 Odpowiedzi: 25    Wyświetleń: 4650
  • Oscyloskop Tesla BM463 - Uszkodzenie modułu podstawy czasu(?)

    Dziękuję bardzo za odpowiedzi. Załączam zdjęcie płyty czołowej - zdjęta do czyszczenia. :) Odnosząc się kolejno do uwag: -sygnał z zewnątrz można podać, na dole jest gniazdo banan na x input. Tylko że generatora nie posiadam, ale... No właśnie, obok są wyjścia (jeśli dobrze zrozumiałem instrukcję) i masy od generatora podstawy czasu w formie pilokształtnej...

    Inne Serwis   17 Mar 2020 13:41 Odpowiedzi: 11    Wyświetleń: 603
  • Oscyloskop Rohde&Schwarz HMO1102 - czyli o dawnym Hamegu słów kilka

    Zdzisiek generator w oscyloskopie to dodatkowy pomocniczy, mam w MDO3000 arbitralny i ani razu nie użyłem :D Zaś Generator wzorów jest ciekawą opcją.. Mój to jeszcze seria HMO, ale nie ma różnicy, component tester doceni ten kto miał zajęcia z metrologii albo doczytał braki wiedziy. Fakt warto pomyśleć nad tym kurzeniem. Nie podzielam zachwytu nad sondą...

    Warsztat elektronika   04 Cze 2022 21:03 Odpowiedzi: 4    Wyświetleń: 480
  • Oscyloskop - jakiej firmy i względnie jaki kupić oscyloskop cyfrowy?

    Dodano po 2 W sumie też oscyloskop za pięc tysi Rigol MSO5000 Podaj jak możesz taki sygnał na swój: Sweep od 1kHz do 50kHz, sweep-time 35ms i zamieść efekt. Dodano po 7 Niestety nadal w Rigol FFT jest jedynie dodatkiem. Rohde&Schwarz HMO1102 też prostokąt Twój powinno się porównać do RTB2004. Mam jeszcze taki test FFT. Jak jesteś zainteresowany rywalizacją...

    Warsztat co kupić?   25 Lis 2023 14:37 Odpowiedzi: 286    Wyświetleń: 36483
  • Prostownik calofalowy

    Analiza była AC, no to na wyjściu masz składową AC. Przy czym masz ją w kilohercach, a to nie ma sensu. Prawdopodobnie podczas AC sweep wzięte są pod uwagę tylko pojemności złączowe diod i mostek nie przenosi 50 Hz i nic nie prostuje. Jak bardzo chcesz to ewentualnie napięcie tętnień wylicz sobie z wykresu na wyjściu przy analizie czasowej sterując...

    Początkujący Elektronicy   04 Lut 2007 00:16 Odpowiedzi: 1    Wyświetleń: 1215
  • gtx 660 tf - nvidia windows kernel mode driver stopped responding

    Witam na wstępie chcialem napisać ze mecze sie juz nad tym dobre kilkanaście godzin przeczytałem mnóstwo tematow, przeinstalowałem mnóstwo sterowników czyszcząc driver sweeperem oraz Display Driver Unninstalerem poprostu juz nie mam pomysłów co może byc przyczyna mojego błędu komputer kupilem 2 dni temu wszystko smigało jak marzenie instalacja systemu...

    Laptopy Hardware   19 Sty 2014 18:03 Odpowiedzi: 9    Wyświetleń: 2616
  • Cienkie glosniki

    Tak oczywiście to tylko teoretyczne rozważania chodziło mi o to że taka tafla szkła ma minimalny skok a niskie tony jest w stanie odtworzyć. Oczywiście z dużo mniejszą efektywnością niż 1khz... A tak a propos 1khz to właśnie budowa ucha wewnętrznego (ślimaka) warunkuje nasz zakres słyszenia i fakt, że słyszymy w skali logarytmicznej. A częstotliwość...

    Audio Estradowe, Sceniczne, Studyjne   20 Sie 2005 17:25 Odpowiedzi: 20    Wyświetleń: 2223
  • Czym stroić - 433MHz

    hmmm sonda na AD8307 jest przewidziana w rozwiazaniu Jarka SP3SWJ w rozwiazaniu VNA - mega miernik ;-) ja obecnie robie wobuloskop na HF band wg OM3CPH z sonda na AD8310 w tej chwili "spawam" tlumiki do tego docelowo bede bawil sie w to: SWEEP by I2TZK V2.0 tez na wczesniej wymienionej www OM3CPH (generator DDS na AD9951 juz chodzi) A miernik mocy OZ2CPU...

    Radiotechnika Serwis   07 Maj 2007 00:45 Odpowiedzi: 16    Wyświetleń: 5859
  • Zniekształcenia biedakomplementarnej końcówki M531S

    Posiadam taki właśnie magnetofon - zewnętrznie odpicowany do stanu w miarę zgodnego z fabrycznym, wewnętrznie nieco przerobiony - ze względu na kilkukrotne awarie i starzenie się elementów, niesprawne wymieniałem często na współczesne lepszej jakości, ponadto wewnętrzny układ połączeń jest nieco pozmieniany, ze względu na dążenie do zredukowania szumów...

    Audio Serwis   07 Cze 2010 09:00 Odpowiedzi: 0    Wyświetleń: 2375
  • Pomiar wzmacniacza, pomiar jakości dźwięku?

    Nie domowym sposobem, ale za to prosto i skutecznie: - sztuczne obciążenie o rezystancji i mocy dobranej do wzmacniacza - generator z wobulatorem (tzw. sweep) - oscyloskop z funkcją wyświetlania X-Y Zdejmujesz charakterystyki częstotliwościowe od 20Hz do przynajmniej 100kHz i masz czarno na białym czy są jakieś różnice pomiędzy kanałami. Poza tym odrazu...

    Początkujący Elektronicy   08 Kwi 2012 22:38 Odpowiedzi: 17    Wyświetleń: 4360
  • Jaki oscyloskop dla początkującego elektronika

    Dla początkującego (skoro już ktoś doszedł do etapu, że potrzebuje oscyloskopu, to już chyba nie jest taki całkiem początkujący?) standardem musi być coś dwukanałowego (1-channel to porażka), niech będzie równie "standardowe" amatorskie pasmo 20 MHz. Jak ktoś jest troszkę "przy kasie", to może być pół-analog/pół-cyfra, typu Hameg 205 (bo jest tam bardzo...

    Warsztat co kupić?   11 Maj 2013 10:55 Odpowiedzi: 8    Wyświetleń: 5112
  • HP8560E - Analizator widma 8560E error 900

    Pierwszy mikser miesza sygnał wejsciowy z sygnałem VCO (pewnie tam YIG siedzi + PLL) i od tego trzeba zaczać. Ten sam sygnał zmieszany z kolejnymi oscylatorami (drugiego i trzeciego mieszacza) daje sygnał na wyjściu generatora trackingowego. Zaczać od sprawdzenia własnie pierwszego LO a nie patrzenia i szukania czy coś jest "upalone". Z przodu masz...

    Warsztat elektronika   20 Paź 2013 01:02 Odpowiedzi: 7    Wyświetleń: 1416
  • Sztuczne obciążenie na 2x2N3055

    Fachowo takie urządzenie nazywa się "elektroniczne obciążenie". Co do samej konstrukcji - mam pare pytań: 1) pasmo pracy; do jakiej częstotliwości i amplitudy zmiennego napięcia sinusoidalnego urządzenie będzie w stanie "śledzić" napięcie i stabilizować pobierany ze źródła prąd? W sumie najlepiej przydałoby się podłączyć arbitralny generator funkcji...

    DIY Konstrukcje   28 Cze 2022 11:33 Odpowiedzi: 34    Wyświetleń: 18636
  • Jak korzystając ze starej metody amatorzy mogą łatwo konstruować układy RF

    Zbudowałem sobie na szybko najprostszy możliwy układ w tej "technologii"-kawałek kabla z dwoma konektorami apc3.5, ok. 40mm długości, nawet w miarę prosty w porównaniu do tego co widać na zdjęciach z artykułu. Straty sygnału na tych 40mm wyglądają tak: Z jednej strony generator(sweep 250MHz-26,5GHz, 0dBm), z drugiej detektor bezpośrednio na konektorze....

    Artykuły   23 Lis 2018 19:43 Odpowiedzi: 34    Wyświetleń: 8127
  • Który wybrać Hantek 6022BE czy Instrustar ISDS205B

    Witajcie, Chcę zakupić jeden z oscyloskopów i zwracam się z prośbą o uwagi do osób które miały z nimi styczność. To co udało mi się znaleźć i wywnioskować: - cena - podobna, u majfrendów Hantek 6022BE 200zł, ISDS205B: 230zł. - parametry i oprogramowanie - podobne. 1) Hantek 6022BE - kolega ma i sobie chwali - podobno działa na androidzie 2) INSTRUSTAR...

    Warsztat co kupić?   27 Gru 2019 23:07 Odpowiedzi: 14    Wyświetleń: 1863
  • Mazurek 110 - jaki materiał zastosować w tunelu BR po wyjęciu gąbki?

    Przeszukałem sieć i znalazłem zdjęcie gdzie widać tą "gąbkę". Napisałem do serwisu Tonsila ciekawe co odpowiedzą. (at)marian133 Umieściłem mikrofon w polu bliskim pomiędzy szczeliną BR i dolnym głośnikiem. Dalej sweep w holmimpulse. Zresztą takie różnice byle generatorem i na słuch się jest w stanie usłyszeć.

    Głośniki i Zestawy Głośnikowe   19 Cze 2023 22:59 Odpowiedzi: 32    Wyświetleń: 1653
  • Wyświetlacze VFD to nic strasznego. Część 2. Identyfikacja i uruchamianie

    Wracając do kolorowego VFD to jako że mam już na wyposażeniu generator arbitralny DDS podałem na wejście sygnał SWEEP 0-11kHz. Okazuje się że program analizatora jest "zryty" bo nie jest to wcale stereo :-( Od około 0-2kHz jeden kanał a powyżej drugi i dlatego takie dziwne wyświetlanie jest. Przerobię (mam nadzieję że się uda) na niebieską tabletkę...

    Artykuły   04 Lip 2021 21:41 Odpowiedzi: 324    Wyświetleń: 19134
  • Uszkodzony oscyloskop Hameg HM204 - brak przebiegu na ekranie, sprawne napięcia i tranzystory

    Mój model to dokładnie Hameg 204 bez żadnych dodatkowych cyferek/kreseczek. Odpowiedni do niego schemat z instrukcją serwisową dorzuciłem do mojego pierwszego postu. Potencjometry na PCB sprawdziłem i wszystkie są dobre. Niestety próbowałem wcześniej uzyskać jakiś przebieg w trybie X/Y, jednak nadal rysowana jest tylko linia pionowa... Potencjometr...

    Warsztat elektronika   02 Wrz 2021 23:38 Odpowiedzi: 20    Wyświetleń: 822
  • Czy jest jakieś racjonalne uzasadnienie dla posiadania oscyloskopu analogowego?

    Pracowałem na DS1052(chyba E) i wkurzało mnie ile się trzeba na klikać żeby zmienić najczęściej używane nastawy (np synchronizacji) i to że właśnie w środowisku zakłóceń lub szybkozmiennych przebiegów rysował równo jasną plamę Na tym oscyloskopie pomiar pasma przenoszenia filtru przez ustawienie na generatorze sweep i oglądanie obwiedni, albo tryb XY...

    Projektowanie i Tworzenie Po godzinach   27 Wrz 2021 18:38 Odpowiedzi: 52    Wyświetleń: 3477
  • Rohde&Sshwarz RTO2044 - Best scope ever czy ładny przeładowany z cena za mar

    Cały Siglent to chyba należy do LeCroy-a, także to nigdy nie będzie marka profesjonalna wg mnie. Bo Kilka osób mówiło to samo, jak rozwijasz liste wartosci parametry i nikt z wielu nie widzi zadnej zmiany, to to nie przypadek,tylko oszustwo, dodatkowo zubozenie funkcji, color-grade, działa jak kameleon (znowu te same sygnały compare to mdo co ma kilka...

    Warsztat elektronika   24 Cze 2022 20:49 Odpowiedzi: 20    Wyświetleń: 519
  • uruchomienie rx2005 -uniwersalny odbiornik cw/ssb

    Kolego, do filtrów najlepszy to gdo, generator ze sweepem, oraz oscyloskop+ sonda

    Radiotechnika Początkujący   23 Lut 2011 21:21 Odpowiedzi: 14    Wyświetleń: 4072