REKLAMA

symulacja czasowa

Znaleziono około 428 wyników dla: symulacja czasowa
  • [VHDL] Symulacja układu w wykorzystaniem zewnętrznych modeli

    To jest ciekawy problem i kiedyś też przez to przechodziłem. Tylko teraz ma problem jak to wszystko połączyć razem do symulacji (Post Fit) bo mój układ jest syntetyzowalny a model pamięci RAM nie. Spróbuj może zacząć od symulacji funkcjonalnej (behawioralnej), to powinno być łatwiejsze. Jak to się uda to przejdź do symulacji czasowej (post-fit). Czy...

    Programowalne układy logiczne   20 Lut 2021 15:46 Odpowiedzi: 3    Wyświetleń: 537
  • Symulacja czasowa w activ hdl.

    Narzędzia z jakimi pracuję: ISE 8.2.03i Activ Hdl 7.1 sp1.23 No i podczas symulacji czasowej mam 'X' na niektórych sygnałach, na których ich nie powinno być. A nie powinno ich być ponieważ taka sama symulacja czasowa w Modelsim symuluje się prawidłowo. No i moduł w rzeczywistym fpga też działa. Zrobiłem update bibliotek Xilinxa (rozmiary bibliotek w...

    Programowalne układy logiczne   23 Lut 2009 16:36 Odpowiedzi: 2    Wyświetleń: 1583
  • Problem z symulacją czasową w Activ-HDL

    Mam problem przy symulacji czasowej w Active-HDL'u. Wykonuje synteze i implementacje używając narzędzia Xilinx ISE/WebPack 8.1 (flow setings) wszystko przechodzi bez problemów do momentu symulacji czasowej, wyskakuje mi następujący błąd: # ELBREAD: Error: No design unit found (searched libraries: dalej_niedziala_timing, name X_OBUF). # ELBREAD: Error:...

    Programowalne układy logiczne   30 Sie 2006 00:48 Odpowiedzi: 2    Wyświetleń: 2044
  • REKLAMA
  • Symulacja czasowa w Activ-HDL 7.1sp2, ISE WebPack 8.2i sp2

    Czesc, Podczas symulacji czasowej sygnal wyjsciowy zamiast oczekiwanej wartosci zawsze przyjmuje XX. Wszystkie ustawienia domyslne. Uaktulanilem active hdl 7.1 sp2 do: DesignFlowUpdatefor7 library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity MyTestSimple is port( CLK : in STD_LOGIC; in1 : in STD_LOGIC_VECTOR(7...

    Programowalne układy logiczne   22 Wrz 2006 00:56 Odpowiedzi: 18    Wyświetleń: 5522
  • program do symulacji, przebieg czasowy prz. JK

    Witam. Który program pozwala na symylacje dzialania przerzutników, tak, zeby wynik był w postaci przebiegówe czasowych? Pobrałem już kilka programów do symulacji, DigiLab, DigiWorks, PSpice, CircuitMaker. Ale albo nie ema tam takiej opcji albo nie moge znaleźć. Czy takie coś jest możliwe? Narysowałem przebieg czasowy do układu sekwencyjnego, i teraz...

    Początkujący Elektronicy   23 Sty 2008 11:39 Odpowiedzi: 3    Wyświetleń: 1262
  • REKLAMA
  • Jak wykonać symulacje czasową w Quartus II??

    Jak w wykonać symulację czasową? Jak można odczytać maksymalną częstotliwość projektowanego układu?

    Programowalne układy logiczne   24 Sie 2013 20:11 Odpowiedzi: 1    Wyświetleń: 1767
  • Gdzie znajde plik wynikowy symulacji w Protelu 99SE?

    Gdzie znajdę plik wynikowy symulacji w Protelu 99SE, chodzi mi o symulacje czasową, czym go otworzyć. Chyba że jest możliwość w samym protelu wykreślenie fukcji np. i=f(u).

    Projektowanie PCB   30 Cze 2005 19:17 Odpowiedzi: 3    Wyświetleń: 936
  • Automat Mealy'ego - wykres symulacji

    Mam do Was pytanie. Zastanawiam sie dlaczego przy wykresie symulacji czasowej (automat Mealy'ego) występują stany nieokreslone? Trwaja one krótko. Na symulacji to tylko kreseczka pionowa. Nie mam żadnego pomysłu dlaczego tak sie dzieje.

    Nauka Szkolnictwo   12 Cze 2015 14:30 Odpowiedzi: 1    Wyświetleń: 528
  • Symulacja układów trochę inaczej

    Witam. Zastanawiałem się czy jest możliwe testowanie kodów VHDL w jakimś programie obsługującym wirtualne układy. Nie chodzi mi o zwykłą symulację czasową ale o coś takiego, że mamy w programie np. wirtualną płytkę uruchomieniową (kit) z przyciskami, diodami jakimś wyświetlaczem. Następnie implementujemy w układ kod VHDL i sprawdzamy jakby to działało...

    Programowalne układy logiczne   25 Kwi 2010 05:56 Odpowiedzi: 1    Wyświetleń: 1284
  • [matlab] krótko-czasowa transformata fouriera

    Witam to znowu ja :P udało mi się napisać coś takiego: close all, clc clear all % symulacja modelu init_freq= 0; % poczatkowa czestotliwosc target_freq= 150; % koncowa czestotliwosc w symulacji window_length= 512; % szerokosc okna w probkach fft_length= 2^10; % liczba probek transformaty sim('sim2'); % analiza danych window_no= length( STFT(1,1,:)...

    Programowanie   14 Gru 2010 16:06 Odpowiedzi: 2    Wyświetleń: 3702
  • Satel Integra 64+ symulacja obecności domowników

    Też jestem tego zdania. Kontaktowałem się w tej sprawie z Satelem, ale na ten moment raczej nie są zainteresowani dodaniem "losowego" timera. Dołączam zrzuty ekranu z systemu testowego. System pochłania trochę zasobów, ale działa fajnie. Rozwiązanie już wdrożone. Mam nadzieję, że będzie przydatne w różnych realizacjach i przekona Satela do dodania...

    Systemy Alarmowe   10 Lip 2023 08:25 Odpowiedzi: 13    Wyświetleń: 1926
  • Bardzo duży prąd w programie w trakcie symulacji.

    Rozważania przedmówcy nie są zgodne ze zjawiskami tym układzie. Także zastosowane zależności. Na schemacie brakuje rezystancji wewnętrznej źródła Rw. Rw to kluczowy element zarówno w stanie ustalonym, jak i nieustalonym. Rw *C to stała czasowa ładowania się kondensatora. I ograniczenie prądu początkowego przy włączeniu układu. Jeżeli układ włączymy...

    Elektryka Pomiary   23 Paź 2012 21:17 Odpowiedzi: 13    Wyświetleń: 1899
  • podłączenie wyjścia pompy sterownika solarnego do wyłącznika czasowego

    Witam posiadam sterownik solarny firmy dico-tech model mr04 cały opis techniczny w google. sterownik posiada 2 wyjścia dla pomp obiegowych. sterownik dla wyjścia pompy nr 2 cyrkulacyjnej posiada możliwość ustawienia włączania i wyłączania czasowego tej pompy. pompa załącza się gdy temperatura na jednym ze zbiorników wody osiągnie temp. np.60*C i miesza...

    Elektro Maszyny i Urządzenia   07 Cze 2015 18:55 Odpowiedzi: 4    Wyświetleń: 6468
  • Zastosowanie GML-025 w Amator 3 - symulacja LTSpice, wyniki

    Witam <edit> Dostępność Telpod'owskich układów GML jest coraz mniejsza, a możliwości dostępne w obecnych czasach pozwalają na zaprojektowanie i "produkcję" substytutu tych układów. Ponieważ w GML-025 moim zdaniem wydaje się być lepszą konstrukcją (od GML-026 z powodu użycia wzmacniacza różnicowego na wejściu) chciałem sprawdzić możliwość użycia...

    Elektronika Retro   03 Cze 2020 21:38 Odpowiedzi: 2    Wyświetleń: 1449
  • REKLAMA
  • Orcad/Protel - Przebiegi czasowe

    Witam... Czy ktos z Szanownych Uytkownikow tego forum wie, w jaki sposob mozna przy uzyciu tych programow - (obojetnie ktorego - i czy w ogole mozna) - przeprowadzic symulacje ukladow analogowych, ale wyniki symulacji zapisac do pliku tekstowego. Np. czas - wartosc Uwy, z okreslonym krokiem (np 10ms). Potrafie przeprowadzic symulacje, ale nie wiem czy...

    Projektowanie PCB   27 Cze 2003 13:49 Odpowiedzi: 4    Wyświetleń: 1883
  • Symulacja wschodu i zachodu - problem

    Probuję stworzyć w akwarium oryginalny system symulacji wschodu i zachodu słońca. Na razie ma to polegać na mechanicznym przysłanianiu i odsłanianiu źródła światła. Jednak mam pewne problemy. Oto częściowy schemat: Zasada działa jest następująca (wg rysunków): 1. Wyłącznik czasowy (zwykły mechaniczny na 220) jest wyłączony. Oświetlenie oraz silnik poruszający...

    Projektowanie Układów   12 Lis 2007 09:25 Odpowiedzi: 28    Wyświetleń: 7009
  • Symulacja układu zaimplementowanego w strukture

    "]Kurcze fajny temat fajny, ale chyba juz sie wyczerpal :); /.../post-route simulation jest do bani,/.../bo wiadomość że coś nie działa w dużym projekcie to nie nowina mozna by nawet powiedziec, ze to "oczywista oczywistosc"; ale jak juz wiemy, ze cos nie dziala i mniej wiecej w ktorym miejscu, to symulator moze pokazac dlaczego; zwykle - przy duzych...

    Programowalne układy logiczne   22 Lip 2008 09:27 Odpowiedzi: 33    Wyświetleń: 5025
  • jak uruchomić symulacje w quartus II

    /.../I chciałbym aby mnie ktoś poprowadził krok po korku jak zrobic symulacje/.../ jesli sie jeszcze nie nauczyles z linkow podanych przez obinobi , to masz tu skrocona instrukcje poslugiwania sie symulatorem quartusa; oczywiscie musisz przeczytac uwaznie stosowny rozdzial w manualu, by sie poslugiwac swobodnie narzedziem, ale ten skrot pewnie ulatwi...

    Programowalne układy logiczne   14 Gru 2008 14:14 Odpowiedzi: 9    Wyświetleń: 4074
  • STX GDN-18-140-15-AWX symulacja i interpretacja wyników

    1. Jaka jest w przybliżeniu dolna, maksymalnie niska częstotliwość podziału jaką się stosuje w „normalnych” zwrotnicach? Z większym głośnikiem średniotnowym (15, max 18cm) - ok 300 hz, z mniejszym (ok 10-14 cm) - ok 500-600 hz. 2. W jaki sposób w kolumnach 2,5 niweluje się zjawisko baffle-step? Baffle step to zjawisko podbicia pasma od...

    Głośniki i Zestawy Głośnikowe   31 Maj 2012 12:48 Odpowiedzi: 18    Wyświetleń: 6789
  • Algorytm/ARM - Symulacja odbić kulki od przeszkód na STM32F103VCT6

    I kontynuuję temat. Na razie kod taki: Czyli coś jest nie tak z wykrywaniem kolizji nadal... A moze prosty algorytm wektorowy - mniej wiecej tak z kolizjami radza sobie nowsze gry... [syntax=c] #include <stdio.h> #include <assert.h> #include <math.h> #include <SDL/SDL.h> #include <SDL/SDL_gfxPrimitives.h> typedef struct...

    Mikrokontrolery   15 Sie 2013 11:00 Odpowiedzi: 12    Wyświetleń: 3021
  • Schemat prostego przekaźnika czasowego sprawdzenie schematu

    Witam. Potrzebuję zrobić moduł przekaźnika zasilanego z 12V który po otrzymaniu impulsu załączy się na pewien czas (regulowany potencjometrem) a po jego upływie wyłączy. Czas jaki był by mi potrzebny to od kilkudziesięciu (około30-40) sekund do kilku minut (3-5). Znalazłem w sieci schemat taki jak poniżej zamieszczam. Prosił bym o jego weryfikacje i...

    Początkujący Elektronicy   11 Gru 2021 19:51 Odpowiedzi: 10    Wyświetleń: 5319
  • Czasowe generowanie sygnału dla stołu

    No wiesz...zestawiasz "klocki" (FDB), sprawdzasz symalacja: działa/nie działa, poprawiasz - symulacja - poprawiasz-symulacja... aż spodoba Ci się to co zrobiłeś :). Program można pobrać, pobawić się i jak nie poczuje się na siłach to...odpuścić i kupić garść czasówek (drogo) a potem to jeszcze logicznie pokablować.

    Automatyka Przemysłowa   19 Sty 2018 12:18 Odpowiedzi: 15    Wyświetleń: 822
  • Multisim7 - jak przyspieszyć symulację?

    Używam bardzo podobnego programu symulacji i także ten problem występuje.Nie można go usunąć w prosty sposób.Radykalnym sposobem na usunięcie tego jest zmiana wartości elementów w układzie tak,aby symulację można było przeprowadzić w krótszym czasie.Nie zmienia to w żaden sposób charakteru badanego układu.Dla przykładu:jeśli mamy stałą czasową τ=R*C=1M*1u=1sec,a...

    Początkujący Elektronicy   26 Mar 2005 11:02 Odpowiedzi: 1    Wyświetleń: 717
  • Symulacja w MPLab dla PIC16 - resetuje sie do adresu 0x0000

    Mam dziwny problem z debugowaniem programu (assembler) na PIC16F876A w srodowisku MPLab 7.60. Otoz program sie kompiluje prawidlowo, linker nie wyrzuca bledaw a co wiecej - generowany hex jest poprawny i dziala w rzeczywistym urzadzeniu poprawnie. Jednakze w symulacji MPLabowskiej program czesto wraca do adresu 0x0000, tak jakby byl resetowany. Watchdog...

    Mikrokontrolery   22 Maj 2007 11:28 Odpowiedzi: 1    Wyświetleń: 930
  • PSpice czasowy przebieg sinusoidalny

    Witam mam taki mały problem i prosiłbym o wskazówkę, Mam przy użyciu źródła napięciowego uzyskać czasowy przebieg sinusoidalny o częstotliwości 1KHz, amplitudzie 2V, wartości średniej 3V wybrałem źródło VSIN, ustawiłem VOFF=3V, VAMPL=2V, FREQ=1k Wybrałem również analizę ACSWEEP, do źródła VSIN dołączyłem rezystor i teraz moje pytanie jak uzyskać na...

    Początkujący Elektronicy   01 Sty 2008 13:32 Odpowiedzi: 2    Wyświetleń: 5955
  • Symulacja Post-Route, jak w jednym czasie mieć 2 modele?

    Dziękuje za odpowiedź. Chyba problem leżał w metodologii testowania. Moduł opisany za pomocą prymitywów nie jest mój, tylko został stworzony przez inną osobę. Ja mam uzyskać moduł identyczny pod względem działania, opisany w sposób behawioralny. Wynikiem tych prac ma być stwierdzenie, czy narzędzie do syntezy dało sobie radę i utworzyło porównywalne...

    Programowalne układy logiczne   14 Kwi 2008 12:00 Odpowiedzi: 20    Wyświetleń: 1671
  • [Solved]Symulacja sterownika FX2N - czym ?

    Witam, czy istnieje możliwość symulacji pracy sterownika FX w GX Developer ? W sieci znalazłem informację, że istnieje coś takiego jak GX Simulator, jednak nie znalazłem możliwości pobrania tego programu (wtyczki). A może istnieje jakieś inne oprogramowanie służące do symulacji ?? Mile widziana opcja wyświetlania przebiegów czasowych :) Pozdrawiam !

    Automatyka Przemysłowa   21 Kwi 2010 20:51 Odpowiedzi: 9    Wyświetleń: 3795
  • Symulacja wzmacniaczy w Multisim 8

    Witam użytkowników forum, Mam prośbę do ludzi dobrej woli, otóż potrzebna jest mi symulacja wzmacniaczy w układzie sumującym i odejmującym wykonana w programie MultiSim 8 . Do projektu potrzebuje: - schematy ideowe układów; - przebiegi czasowe napięć wej. i wyj. - charakterystyki amplitudowe i fazowe, ewentualnie przejściowe(nap. wyj. względem wej.)...

    Początkujący Elektronicy   18 Mar 2006 16:46 Odpowiedzi: 0    Wyświetleń: 989
  • Symulacje banalne problemy

    Chyba lepsze do twojej symulacji będzie przebieg prostokątny o częstotliwości ok. 50 Hz. Narastanie (a potem spadanie) napięcia na kondensatorze do wartości amplitudy wymuszenia trwa ok. 5 * T (stała czasowa obwodu). W twoim obwodzie T = 1ms. Pozdrawiam wszystkich jjanek

    Początkujący Elektronicy   04 Lis 2007 20:40 Odpowiedzi: 2    Wyświetleń: 824
  • Pspice - symulacja rozwarcia w obwodzie

    Do symulacji włączeń czy wyłączeń używasz kluczy sterowanych napięciem lub prądem. Do sterowania możesz użyć np. źródeł napięciowych z przebiegiem impulsowym, gdzie możesz ustawić sobie dowolne opóźnienia i zależności czasowe. W kluczu definiujesz poziom włączenia, rezystancję zwartego klucza i rezystancję rozwartego.

    Początkujący Elektronicy   11 Sty 2008 16:02 Odpowiedzi: 2    Wyświetleń: 1180
  • Multiwibrator, symulacje

    witam. mam problem, otóż chciałbym zobaczyć jak działa dokładnie multiwibrator, która połówka przebiegu się zwiększa, a która zmniejsza, jak będę zmieniał rezystory jaki to będzie miało wpływ na przebieg. próbowałem w Pspice sprawdzić układ w czasowym przebiegu, lecz przebiegi nic nie wykazywały.... (linie ciągłe). czy ktoś mógłby mi pomóc, lub podać...

    Nauka Elektroniki, Teoria i Laborki   07 Maj 2008 18:06 Odpowiedzi: 4    Wyświetleń: 2527
  • [VHDL] Symulacja kodu w ISE 11.1

    Witaj. To co piszesz wydaje się normalne że nie możesz przesymulować. Pewnie clocka nie masz i innych sygnałów. W tym miejscu proponowałbym Ci abyś doinstalował sobie modelsima xe (tylko dla układów firmy xilinx). W poprzednich wersjach było tak, że tworzyłeś sobie plik *.tbw i ustawiałeś clocka itd. w nowej wersji jest inaczej tworzysz sobie plik test...

    Programowalne układy logiczne   08 Lip 2009 10:51 Odpowiedzi: 4    Wyświetleń: 2686
  • MultiSim - symulacja timera '555.

    Wartość R1 (a na oryginalnym schemacie R16) jest bez sensu, nie powinien być mniejszy niż 1kΩ. Tranzystor rozładowujący w układzie 555 ma dość małą wydajność prądową (zależną jeszcze on napięcia zasilania ok. 15 mA przy zasilaniu 15V i ok. 4.5 mA przy 5V) co daje ograniczenie na R1 wynoszące ok. 1k Jeżeli nie dostosujemy się do tego ograniczenia,...

    Początkujący Elektronicy   14 Lip 2009 13:15 Odpowiedzi: 4    Wyświetleń: 3858
  • Symulacja ukladu ne555 w PSpice

    By symulować jakikolwiek układ w programach symulacyjnych trzeba rozumieć jak takie programy działają i jak je odpowiednio ustawić by nie pokazywały bzdurnych wyników. Przy symulacji generatorów zawsze trzeba włączyć w analizie czasowej "Skip initial transient solution" a czasami trzeba dodać jeszcze inny element by drgania zapoczątkować. Dołączam plik...

    Początkujący Elektronicy   12 Wrz 2009 10:09 Odpowiedzi: 11    Wyświetleń: 4829
  • ispLever - symulacja

    Witam, czy można w programie ispLever zrobić symulację działania kodu, ale na schemacie lub podglądzie scalaka? Tak żeby stan portu był pokazany na nóżce? Wiem że można zrobić wykres przebiegów czasowych. (1) Ale zmiana stanu jest trochę kłopotliwa, trzeba wchodzić w opcje i zmieniać (2), nie da się tego jakoś łatwiej?

    Początkujący Elektronicy   15 Lis 2009 13:43 Odpowiedzi: 0    Wyświetleń: 744
  • CircuitLab, narzędzie online do budowy i symulacji układów elektronicznych

    Falstad jest fajny ze względu na te graficzne bajery, ale nie można tam zrobić bardziej zaawansowanej symulacji niż tylko przebieg czasowy. No i z tego, co pamiętam wszystkie elementy tam są traktowane jak idealne.

    Newsy   05 Mar 2012 21:46 Odpowiedzi: 4    Wyświetleń: 6960
  • techniki modulacyjne - symulacje

    Witam Czy jest ktoś w stanie polecić oprogramowanie do symulacji różnego typu modulacji? Dotychczas korzystałem ze SPice'a (głównie do modulacji analogowych). Teraz zajmuję się modulacjami impulsowymi (obecnie te podstawowe PAM, PPM, PDM, następnie bardziej złożone) i założyłem, że wykorzystam do tego Matlaba. Mam tu na myśli takie typowo ćwiczeniowe...

    DSP i Transmisja   06 Sty 2014 20:37 Odpowiedzi: 0    Wyświetleń: 1824
  • Prawidłowo dobrane nastawy które działają na symulacji. (serwomechanizm)

    PD: Co do nastaw w przypadku gdy wzmocnienie to 20 a stała czasowa 1.5, histereza 6 a strefa martwa 14 sygnał jest stabilny ale nie jest osiągnięty cel jeśli chodzi o regulator sygnał powinien ciągle 'oscylować " a w tym momencie w strefe martwą chyba wpada To jest sygnał dla regulacji 2 położeniowej: w podobny sposób powinno to wyglądać dla trójpołożeniowej...

    Automatyka Przemysłowa   27 Maj 2017 16:03 Odpowiedzi: 4    Wyświetleń: 1041
  • Komputer akwariowy, symulacja świt-zmierzch + kanały przekaźnikowe

    Witam, Przed zaprezentowanym wcześniej 6 kanałowym sterownikiem LED WiFi świt-zmierzch, dzień-noc skonstruowałem i wykonałem cały komputer akwariowy dla ojca akwarysty ;) Nie ma w nim WiFi lecz zwykły wyświetlacz i przyciski sterujące. Całe sterowanie oparte jest na zwykłym Arduino. - Komputer zawiera 4 niezależne kanały. - Kanał 1 przeznaczony jest...

    DIY Konstrukcje   11 Maj 2022 20:57 Odpowiedzi: 4    Wyświetleń: 2085
  • Wyłącznik czasowy (timer) - poszukiwany schemat

    Z tego co czytam chodzi ci o coś takiego żeby się załączało np na 3s potem wyłaczało na 2s i tak w kółko? Jeśli tak to ściągnij sobie z dawnload program do symulacji 555 napewno któryś z schematów ci podpasuje. Jeśli o coś innego to najlepiej narysuj przebieg czasowy jeśli ma jakoś zalerzeć od wejścia.

    Projektowanie Układów   07 Cze 2005 23:24 Odpowiedzi: 2    Wyświetleń: 2753
  • a<='1' after 1sec; - nie działające opóźnienia czasowe

    Zapis który podajesz stosuje się raczej do celów symulacji. Programy do syntezy traktują to różnie (raz omijają, raz wyrzucają błąd), więc w tym przypadku radziłbym skorzystać raczej z jakiegoś układu czasowego - np. zliczanie do ilości taktów zegara odpowiadającej czasowi 1s.

    Mikrokontrolery   12 Sie 2005 11:34 Odpowiedzi: 3    Wyświetleń: 765
  • Elektroniczny, wielofunkcyjny wyłącznik czasowy

    Witam! zamiesciłem tu narysowany przezemnie schemat wyłącznika czasowego, który projektowałem z myslą o piecach węglowych, w których paliwo stałe podawane jest za pomocą ślimaka w pewnych odstępach czasu. Natrazie przeprowadziłem tylko symulację w EWB. Mile widziane wszelkie opinie na temat mojej konstrukcji. Pozdrowienia!

    DIY Konstrukcje   18 Mar 2007 10:05 Odpowiedzi: 2    Wyświetleń: 4861
  • wyłącznik czasowy prądu (do kontaktu)

    Dowolny gniazdkowy włącznik czasowy z programowaniem. Praktycznie w każdym takim jest min. 6 miejsc na ustawienie czasu: dzień, pakiet dni, godz. włączenia, godz. wyłączenia. Jeden program to jedno nastawienie; nie można w jednym programie ustawić np. 2 włączeń/wyłączeń dziennie. Niektóre mają jako dodatek opcję włączania losowego w zadanym czasie (symulacja...

    Elektro Co kupić?   24 Lip 2013 19:51 Odpowiedzi: 7    Wyświetleń: 8985
  • ATMEGA16 - Schemat ideowy-Uniwersalny programator czasowy.

    Witam. Dostałem zadanie stworzenia idealnego programatora czasowego przy użyciu dowolnego mikrokontrolera, który pozwala na ustalenie przez klawiaturę wyjście 0-10DC. Wstępnie zdecydowałem się na kontroler ATMEGA16. Ponad to jako urządzenia peryferyjne mam zamiar użyć wyświetlacz 4x16, klawiaturę składającą się z 4 przycisków oraz zegar czasu rzeczywistego...

    Mikrokontrolery AVR   14 Paź 2014 13:55 Odpowiedzi: 2    Wyświetleń: 1470
  • Problem z symulacja dla filtra LC - PSpice

    no to jednak chodzi Ci o przebiegi czasowe - czyli takie jak na oscyloskopie. Do tego musisz zadać źródło sinusoidalne. Deklaracja: VX N1 N2 SIN( V0 VA FREQ TD THETA gdzie: VX - nazwa źródła N1, N2 - węzły V0 - składowa stała VA - amplituda FREQ - częstotliwość w Hz TD - opóźnienie THETA - współczynnik tłumienia do analizy używasz analizy stanu nieustalonego...

    Projektowanie Układów   07 Gru 2006 21:39 Odpowiedzi: 35    Wyświetleń: 6272
  • Symulacja linii w ekranie i bez

    witam, mam zadanie zasymulować przebiegi czasowe w linii ekranowanej i nieekranowanej i porównać. W czym to można zrobić w Pspice może w matlabie, robił ktoś coś takiego, na jakich modelach proszę o pomoc :idea: pozdrawiam

    Początkujący Elektronicy   26 Kwi 2006 16:56 Odpowiedzi: 3    Wyświetleń: 747
  • symulacja przebiegu (sygnału) jak

    Trzeba mi zasymulawać któryś z tych przebiegów z rysunku najlepiej pierwszy albo drugi (o ile jest to wykonalne) a jak nie jest to przebieg 4 i 5 ( to na pewno jest). Dobrze by było aby maiły jakąś regulacje jeszcze ( odstępy czasowe między impulsami oraz długość trwania impulsów). Myślałem nad jakimś uP ale nie orientuję sie cz mają takie możliwości....

    Początkujący Elektronicy   16 Paź 2007 18:29 Odpowiedzi: 0    Wyświetleń: 539
  • Symulacja i rzeczywistość - zamek cyfrowy i LTSpice

    W LTSpice NE555 znajdziesz w katalogu misc . Łącznik mozesz sobie zamodelować jako switch (SW) sterowany behawioralnym modelem źródła napięcia (BV) uwzględniającego zależnosć czasową. Tak to moze wyglądać: Przydało by Ci się też co nieco informacji o formatach plików graficznych. GIF jest przestarzały. Ta sieczka którą tu wrzuciłeś, to wygląda jak by...

    Początkujący Elektronicy   17 Lut 2008 08:47 Odpowiedzi: 21    Wyświetleń: 7004
  • Filtr dolnoprzepustowy aktywny! Symulacja PSPICE

    Witam! Wykonałem schemat badanego układu w PSPICE… musze dokonać analizy czasowej tegoż układu ale niestety nie jestem pewien co do schematu jak i uzyskanych przebiegów.. jeśli znalazłby się ktoś chętny proszę o jakieś rady;] wielkie dzięki za pomoc! Pozdrawiam (załączam schemat ideałowy jak i SCHEMATIKS)

    Początkujący Elektronicy   12 Lis 2014 10:07 Odpowiedzi: 5    Wyświetleń: 5778
  • Symulacja rezystancji zastępczej

    Odpowiem nieco nieporządnie, jak to w niedzielę po obiadku... Najpierw co dla czystych rezystancji: - startując z pierwszego wyróżnionego wierzchołka grafu (źródło, source) do drugiego wyróżnionego wierzchołka (zlew, sink); - piszemy układ równań, numerujemy rezystory, numerujemy (losowo lub arbitralnie) końce rezystorów (1 lub 2) i dla każdego mamy...

    Programowanie   10 Cze 2008 09:29 Odpowiedzi: 33    Wyświetleń: 7001
  • Symulacja multiplexu analogowego

    Witam. Potrzebuję zasymulować w matlabie działanie multipleksera analogowego. Do tego celu potrzebuję modelu, najlepiej opisanego równaniami różniczkowymi lub schemat z R L C i źródłami napięciowymi/prądowymi. Schemat (model) najlepiej uwzględniający jak najwięcej efektów: przesłuchy między kanałami, czasy opóźnienia załączania i wyłączania kanałów,...

    Nauka Elektroniki, Teoria i Laborki   07 Maj 2008 18:01 Odpowiedzi: 2    Wyświetleń: 1568
  • Interfejsy szeregowe - symulacja

    ale zdajesz sobie sprawę, że nie będziesz w stanie podejrzeć np. stanu linii sygnałowej przez wzgląd na to, że pojedynczy bit może najdłużej trwać ok 0,1 sek (raczej nie ma możliwości wynegocjowania wolniejszego łącza)? Chyba, że oprzesz o wykres czasowy (a więc już nie dana chwila, a przegląd historii w czasie) i chyba od tego bym zaczął rozważanie...

    Programowanie   10 Maj 2008 11:47 Odpowiedzi: 7    Wyświetleń: 843
  • Symulacja działania rs-232

    Jedyna animacja jaka mi przychodzi na myśl to wykres przebiegu stanu wszystkich pinów. Cokolwiek innego raczej minie się z celem przez wzgląd na szybkość transmisji. Timestamp to sygnatura czasowa, ciąg znaków określający chwilę zajścia zdarzenia. Tutaj miałem na myśli z rozdzielczością do 10 ms (czyli tyle, ile można uzyskać z zegara systemowego).

    Programowanie   18 Maj 2008 13:39 Odpowiedzi: 5    Wyświetleń: 837
  • Sterownik PLC jak działaja timery? - symulacja komputerowa.

    Zajrzyj tutaj [url=]Przkaźniki czasowe - timery w PLC

    Automatyka Przemysłowa   18 Kwi 2009 09:06 Odpowiedzi: 14    Wyświetleń: 12123
  • Matlab jak robic symulacje?

    step(G2)+step(G4) czyli suma odpowiedzi skokowych. to powinno ci wyznaczyć przebieg czasowy i(t) pozatym polecam helpa help tf help step help impulse help lsim

    Automatyka Przemysłowa   17 Gru 2008 18:40 Odpowiedzi: 17    Wyświetleń: 4027
  • Symulacja obwodów elektrycznych

    Czyli to co opisałem powinno działać? Co do ilości iteracji to właśnie planowałem ustalać ich ilość według generatora o największej częstotliwości. Coś w stylu \Delta t = \frac{1}{f_{max}*X} gdzie X będzie ilością iteracji na okres. Obawiam się tylko, że z symulacją w real time dla wyższych częstotliwości (których na laborkach pełno) będzie już problem...

    Programowanie   19 Mar 2009 13:23 Odpowiedzi: 11    Wyświetleń: 4269
  • matlab/symulink - symulacja elektrowni wiatrowej

    nalezy wykonać zwykły wzmacniacz P (lub w zasadzie PI), gdzie zmiana położenia łopatek będzie wprost proporcjonalna od szybkości wirnika. Co prawda można by uzależnić to od siły wiatru (byłby to po części predykcyjne ze względu na bezwładność wirnika), ale wówczas pomija się tak istotne parametry, jak gęstość powietrza czy obciążenie generatora. Ścieżka...

    Programowanie   23 Sie 2009 20:23 Odpowiedzi: 1    Wyświetleń: 3352
  • Symulacja shiftera PISO w ISE 9.2

    Dziękuje twój kod działa. Popatrzyłem na twój kod dostosowałem do moich potrzeb i dział... przynajmniej tak wynika z przebiegów czasowy w następnym tygodniu sprawdzę to w a płycie czy przebiegi czasowe pokrywają się z pracą fizyczna ... Następne pytanie: Jak zamienić mój kod w VHDL-u / Verilog-u na jeden bloczek (symbol) w schematic-u... bo muszę przyznać...

    Programowalne układy logiczne   02 Paź 2009 10:52 Odpowiedzi: 12    Wyświetleń: 2213
  • Symulacja ładowania kondensatra w PSpice 9.1

    Można zrobić to tekstowo: LADOWANIE KONDENSATORA: V1 1 0 5 ;zrodlo napiecia 5V R1 1 2 10k ;rezystor R1 C1 2 0 10u IC = 0 ;kondensator C1 z warunkiem poczatkowym 0V C2 2 0 10u IC = 0 ;kondensator C2 z warunkiem początkowym 0V C3 2 0 10u IC = 0 ;kondensator C3 z warunkiem poczatkowym 0V .PROBE ;przekaż do PROBE .TRAN 0.01 2 UIC;analiza czasowa z uwzglednieniem...

    Programy EDA   25 Cze 2012 15:19 Odpowiedzi: 7    Wyświetleń: 9906
  • Symulacją W Protelu Generatora PWM na NE555

    Spróbuj wymusić zerowe napięcie na kondensatorze C12 jako warunek początkowy symulacji czasowej, bo zwykle symulatory nie dają sobie rady (wyliczają jakiś pośredni stan początkowy i układ źle startuje). Nie rysuj takich ukośnych połączeń, bo czasami są źle interpretowane.

    Początkujący Naprawy   19 Lut 2010 18:11 Odpowiedzi: 8    Wyświetleń: 3224
  • WORKBENCH Multisim przerywa symulację podając informację: timestep

    Nie mam zbyt wielu doświadczeń z multisimem, ale z tego co kojarzę, to komunikat TRAN nie jest związany z tranzystorem, tylko z analizą czasową (transient), tak w każdym razie oznacza się to w innych programach symulacyjnych (Simulink, SPICE). "Timestep too small" oznacza najczęściej, że nie znaleziono poprawnego rozwiązania. Program dokonuje symulacji...

    Programy EDA   09 Wrz 2011 18:07 Odpowiedzi: 6    Wyświetleń: 3540
  • [ATmega16][ATmega8][AVR Studio][IDE Simulator] Symulacja timer0

    No to jest oczywiste ale tutaj zastosowanie zamknięcia flagi przerwań w SREG na czas trwania przerwania jest raczej bardziej oczywiste ( :) ), tu nie leży problem w kodzie tylko w którymś miejscu z obsługą jeszcze jakiegokolwiek dodatkowego rejestru, muszę po prostu ogarnąć przerwanie, pracowałem nad normalnym kodem (o wiele większym bardziej rozbudowanym...

    Mikrokontrolery Początkujący   20 Lut 2012 22:17 Odpowiedzi: 14    Wyświetleń: 2490
  • Integra 32 - symulacja obecności domownika

    Z tego co rozpisałeś. - powinno działać ! Czyli muszą być spełnione trzy warunki aby symulacja zadziałała.. Nie reagowanie na czujnik zmierzchu może być spowodowane ustawieniem "czasowym" tego wyjścia. Wklej ustawienia wyjść...Zobaczymy co jest nie tak.

    Systemy Alarmowe   02 Maj 2013 18:59 Odpowiedzi: 17    Wyświetleń: 5184
  • Symulacja układów elektronicznych

    Opróch liczby kombinacji połączeń dodał bym jescze liczbę wartości elementów. W niezbyt wyrafinowanych układach stosujemy rezystory obejmujące osiem dekad (razem 192 wartości jeśli mówimy o E24), wartości popularnych kondensatorów obejmują 10 dekad, cewek znacznie mniej może z 5 dekad, liczbę tranzystorów trudno oszacować. Problem jest trudny, więc...

    Projektowanie Układów   27 Sie 2014 15:58 Odpowiedzi: 10    Wyświetleń: 2691
  • Wzmacniacz na parze PNP - w symulacji działa, w praktyce - niekoniecznie...

    Ze stabilizatorem też próbowałem - żadnej poprawy... Przeliczyłem wartość obwodów RC - w emiterowym założyłem fd=20Hz, a minimalną rezystancję na wartość R2 (270R), po obliczeniu pojemność wychodzi ok. 30uF - przyjąłem 33uF. Stała czasowa wynosi ok. 250ms. W filtrze zasilania zmieniłem rezystor na 1.5k a kondensator na 470uF - teraz stała czasowa filtra...

    Projektowanie Układów   18 Paź 2014 10:41 Odpowiedzi: 35    Wyświetleń: 5505
  • Symulacja Podwajacza napięcia

    Witam. Muszę zasymulować działanie podwajacza napiecia w programie PSpice. . Podczas rozpoczęcia napotkałem na pewien problem wynikający z mojej niewiedzy, otóż nie jestem pewien jak podłączyć zamiast tego Transformatora źródlo AC. Zmotowałem to w taki sposób . I zastanawiam się czy nie powinno być inaczej podłączone (z dołu do masy). Czy układ jest...

    Początkujący Elektronicy   06 Lip 2015 12:52 Odpowiedzi: 8    Wyświetleń: 2745
  • Przetwornica obniżająca symulacja w pspice

    jarek_lnx Nieważne, źle przeczytałem schemat. Niemniej wracając do twojego problemu, napięcie wyjściowe zmieniasz poprzez zmianę napięcia podawanego na wzmacniacz błędu. R31 powinien być twoim potencjometrem. Np. chcesz ustabilizować napięcie na poziomie 12V, robisz dzielnik na wyjściu np. 2k i 1k(kolejno R30 i R31 do masy). Na drugie wejście wzmacniacza...

    Układy Zasilające   12 Lip 2016 23:16 Odpowiedzi: 48    Wyświetleń: 6699
  • - Symulacja zmian temperatury w szklarni

    Jeżeli posiadasz taką grząłkę fizycznie to możesz sobie wyznaczyć jej model matematyczny - transmitancję - prawdopodobnie inercja I/II rzędu z jakimś opóźnieniem Cześć! W jaki sposób mogę to zrobić? Mam zbudowaną makietę tej szklarni - ogrzewał będę ją zwykłą żarówką, bo myślę, że to wystarczy. Czy mam zacząć mierzyć temperaturę w powiedzmy chwili...

    Automatyka Przemysłowa   28 Lis 2016 14:26 Odpowiedzi: 7    Wyświetleń: 924
  • Sztuczne obciążenie akumulatora (symulacja rozruchu pojazdu)

    Należy sobie zdać sprawę, że aby obciążyć 350A trzeba liczyć się z mocą 4,2kW. Przykładowo jeśliby obciążyć przewodem miedzianym o odpowiedniej długości, to przez kilka sekund zmieni się jego temperatura, co spowoduje że rezystancja również się zmieni. Ja może bym się skupił nad rezystorami 100W. Np. taki: Rezystor taki można obciążyć 5x, przy czasie...

    Samochody Początkujący   13 Paź 2017 18:27 Odpowiedzi: 14    Wyświetleń: 6672
  • Symulacje Pspice / LTspice - dla początkujących w pytaniach i odpowiedziach

    A podwójny wykres uzyskuje się nie "jakoś" tylko poprzez wybranie z menu kontekstowego "Add Plot Pane". Wtedy na każdym z paneli można wyświetlić różne sygnały i widzieć ich wzajemne zależności czasowe. Na przykład: Mariusz, zakładam, że czytałeś jakiś User Guide dla LTSpice :D . Jest ich bardzo dużo. Chociażby tu:

    Projektowanie Układów   18 Paź 2017 12:05 Odpowiedzi: 13    Wyświetleń: 4146
  • Symulacja układu według schematu - błąd konstrukcji

    Tylko te 3 o których mówiłem? R2, R4 i R6 Nie, te są od prądu LEDów, od stałej czasowej są R1,R3,R5 Jak dużo zmian byłoby w układzie przy zasilaniu 6V? (4xAA) Musiałbym chyba zwiększyć trochę R7? Tylko rezystory od LEDów R2,R4,R6,R7e

    Początkujący Elektronicy   10 Mar 2018 17:53 Odpowiedzi: 33    Wyświetleń: 1134
  • Symulacja obecności domowników

    Sonoff jest bardzo dobrym rozwiązaniem tak jak dla mnie, młodego automatyka, dla kogoś kto sie orientuje w temacie i jest z elektronika ,,za pan brat". Zwykle jest tak że każdy " Kowalski" chce wcisnąć przycisk i ma sobie działać. Widzę że nie uzyskam bardziej merytorycznej odpowiedzi niż sonoff bądź supla, zegary itp. Zrobilem jakiś rok temu taki symulator...

    Projektowanie Co i Gdzie Kupić ?   25 Lis 2018 11:10 Odpowiedzi: 10    Wyświetleń: 804
  • Wyłącznik on/off na jednym przycisku, w symulacji nie działa

    Trzeba poprawić węzły w symulacji EDIT: Tu były błędne połączenia: Można na przykład połączyć tak tak: $ 1 0.000005 10.20027730826997 50 5 43 v 48 384 48 112 0 0 40 0 12 0 0.5 t 144 176 224 176 0 -1 -0.528475000827827 -0.603172134941282 100 r 144 176 144 256 0 100000 r 144 256 144 336 0 100000 c 144 336 144 384 0 3.3e-7 4.010216627607546 w 144 384 48...

    Początkujący Elektronicy   17 Kwi 2019 15:52 Odpowiedzi: 8    Wyświetleń: 2010
  • Zasilacze beztransformatorowe - część 2 - symulacje

    Zasilacz bez transformatora - to nie jest magia ani sen. W rysunku 1 . Sprawność takiego układu jest wyjątkowo niska (<1%), ponieważ większość energii jest tracona jako ciepło na rezystorze R1. Element ten w rzeczywistości musi wykonać dużo pracy, aby obniżyć napięcie z 230 V (AC) RMS do 12 V (DC). W tym przykładzie ten element liniowy rozprasza...

    Artykuły   06 Lip 2021 23:35 Odpowiedzi: 4    Wyświetleń: 3483
  • Wzmacniacz klasy D - Schemat, symulacja PSpice, LTspice.

    Fajnie żeby był jak najbardziej najprostszy nie musi być skomplikowany ponieważ te programy mają ograniczoną liczbę elementów którą można wykorzystać. PSpice tak, oraz ma ograniczoną bibliotekę elemetów. LTSpice nie ma ograniczeń, a elmenty biblioteczne można dodawać własne, jeśli znajdziesz model SPICE-owy w internecie. Producent LTSpice-a się chwali...

    Początkujący Elektronicy   25 Sty 2022 22:05 Odpowiedzi: 41    Wyświetleń: 1131
  • Sterowanie na stycznikach i przekaźnikach czasowych!

    Witam! udalo mi sie to zrealizowac samych elementach stykowych :) jak tylko znajde chwile to wrzuce tu schemat,moze ktos bedzie kiedys szukal... P.S. W czym koledzy robicie schematy czysto elektryczne? i czy jest jakis program do symulacji takich ukladow (styczniki itp.) ? -- pzdr,damdam

    Elektro Maszyny i Urządzenia   26 Mar 2005 12:51 Odpowiedzi: 11    Wyświetleń: 3117
  • Czasowe sterowanie silnikami!!

    Zamieszczam ci schemat układu str0.jpg. Jest to schemat z symulacji,dlatego wartości elementów R1;C1;R2;C2 w rzeczywistości są inne.Na rys str1.jpg masz przebieg sygnałów wyjściowych na kości U1.Jeżeli chcesz sterować włączanie silników,to najlepiej zastosować przekaźniki wlaczane tranystorem sterowanym z wyjść Q1÷Q3 kości U1. Jeżeli cię to interesuje...

    Początkujący Elektronicy   24 Cze 2005 12:29 Odpowiedzi: 6    Wyświetleń: 1902
  • Obliczenie stałej czasowej układu reset - czy dobrze myśle?

    Witam. Mam taki układ (część całego projektu) i musze obliczyć wartości rezystorów i pojemności. Układ wziąłem z wykładów, tam były podane dwa rezystory i zakres pojemności. Z symulacji wyszło mi ze gdy C=22µF R1 = 100 R2=220 R3=2200 to czas zadziałania układu po włączeniu zasilania jest na poziomie 1.28ms W sumie mogło by tyle zostać, ale wymagane...

    Początkujący Elektronicy   15 Wrz 2006 14:22 Odpowiedzi: 4    Wyświetleń: 1765
  • Analiza czasowa w SPICE. Błąd ERC.Netlist/ERC.errors- netlist not created.

    Podczas symulacji dowolnego obwodu wyskakuje mi błąd ERC.Netlist/ERC.errors- netlist not created i nie mam żadnego przebieguuu... :/ Sprawdzałem czy wszystko jest połączone i mam wszystko połączone... Ma ktoś pojęcie co to za błąd??

    Początkujący Elektronicy   08 Gru 2006 18:50 Odpowiedzi: 6    Wyświetleń: 6888
  • NE555 jako opoźnienie czasowe

    Witam Symulacja nie zawsze odzwierciedla stany realistyczne, ale i ja spotkałem się z tym problemem. Dzieje się tak gdy napięcie zasilające dotrze do układu nim ustali się stan stabilny na wejściu (od kilku do kilkunastu µS). Pomaga wtedy dołączenie prostego układu opóźniającego (1rezystor i 1 kondensator, aby uzyskać opóźnienie min 100µS)...

    Projektowanie Układów   22 Maj 2007 21:34 Odpowiedzi: 5    Wyświetleń: 5641
  • Analiza czasowa układu w środowisku ISE

    Ponieważ najlepiej uczyć się na błędach innych (w tym przypadku moich) chciałbym opisać co zrobiłem źle: Aby móc przetwarzać dane potrzebuje pewnych informacji na temat struktury sieci neuronowej. Dane te są zapisywane w kilku tablicach o różnych rozmiarach i długości słów (5,4,3,8 bitów). Dane do koprocesora przychodzą poprzez 16-bitowy FSL, stąd nie...

    Programowalne układy logiczne   12 Wrz 2007 09:47 Odpowiedzi: 16    Wyświetleń: 1661
  • PSpice, analiza czasowa tylko w wybranych miejscach

    Witam, Jak ustawić, żeby analizował układ nie we wszystkich możliwych miejscach ale tylko w miejscach gdzie mam wstawiony element "bubble"? Symulacja trwa bardzo długo, a wiem że jest taka opcja. Prosze o pomoc

    Początkujący Elektronicy   29 Sty 2008 23:38 Odpowiedzi: 1    Wyświetleń: 1008
  • Zlecę: Elektronika analogowa - 2 prościutkie układy czasowe

    Witam, Proszę o pomoc w realizacji praktycznej mojego projektu. W zakres pomocy wchodzi: - przegląd schematu realizowanego przeze mnie projektu i naniesienie ewentualnych poprawek, - dokonanie symulacji mających na celu 'udowodnienie', że układ zaprojektowany został poprawnie (jeśli będą konieczne), - wykonanie projektu PCB, preferuję Protel99SE, ale...

    Ogłoszenia Elektronika   22 Maj 2008 16:44 Odpowiedzi: 1    Wyświetleń: 1467
  • Układ czasowy plus stabilizator nie działa jak powinien

    może znasz jakiś model stabilizatora takiego jak proponowałeś na swoim układzie tylko żeby puścił 14V Z układem LM350 nie stanowi to żadnego problemu (jest to w końcu stabilizator z ustawianym napięciem wyjściowym :D ). Musisz jedynie zapewnić napięcie wejściowe wyższe o co najmniej 3V od wyjściowego i dobrać odpowiednie rezystory: Przy takich wartościach...

    Projektowanie Układów   11 Mar 2010 13:15 Odpowiedzi: 20    Wyświetleń: 7408
  • Problem z układem czasowym

    Moja klasa organizuje w listopadzie konkurs robotyki. Jednym z zadań konkursowych jest to, aby robot zatrzymał się na sygnalizacji świetlnej, gdy ta jest włączona (mowa o sygnalizacji tramwajowej, która świeci się tylko na biało i gaśnie ). Zgłosiłem się do odpowiedzialności za to. Zrobiłem układ, którego schemat jest tu: Dioda jest przystosowana do...

    Początkujący Elektronicy   15 Kwi 2009 13:52 Odpowiedzi: 3    Wyświetleń: 867
  • Układ czasowy NE555 z siłownikiem

    Możesz zostawić nie podłączone na symulacji działa.

    Początkujący Naprawy   28 Paź 2009 17:49 Odpowiedzi: 7    Wyświetleń: 3329
  • [89C52] problem z określniem interwałów czasowych

    Ja nie pisałem o generatorze 15Hz w kontekście symulacji tylko wykonania rzeczywistego :-) W 51' masz 2 poziomy przerwań. Jeżeli zgłoszone zostanie przerwanie od timera i zacznie się wykonywać a później zgłosi się przerwanie zewnętrzne to to pierwsze zostanie zawieszone, wykona się przerwanie zewnętrzne a później program wróci do przerwania od timera...

    Mikrokontrolery   23 Lut 2010 10:18 Odpowiedzi: 8    Wyświetleń: 1456
  • czasowe załączenie diody LED

    dokładnie... poza tym zwiększ kondensator bo przy 1uF to nawet nie zauważysz :) pozdrawiam i polecam jakiś programik do symulacji... przy takich prostych układach wszystko fajnie można zobaczyć przed zmontowaniem :D

    Początkujący Naprawy   23 Lut 2010 18:01 Odpowiedzi: 9    Wyświetleń: 2187
  • Sterowanie czasowe WŁ 20s./WYŁ 5min. 12V

    Proszę symulacja do 21min. 40s. Czerwone cyferki to miejsca pomiarowe. Trochę zmieniłem obwód przy RST, teraz wypadkowa dzielnika napięcia jest wyższa (3,3V). Zrobiłem tak żeby zabezpieczyć się przed brakiem wyzwolenia NE555 wg. datasheeta może zareagować na napięcie w zakresie 0,4-1V więc 0,4 osiąga po 4s., 0,7 po 9s. a 1V 16s. więc jak by nie zareagował...

    Początkujący Naprawy   27 Lip 2010 21:28 Odpowiedzi: 13    Wyświetleń: 4578
  • Włącznik czasowy na Moeller Easy

    Witaj:) na symulacji działa prawidłowo (wg. Twoich założeń) Powodzenia we wgrywaniu programu do sterownika ;)

    Automatyka Przemysłowa   19 Paź 2010 18:11 Odpowiedzi: 2    Wyświetleń: 3125
  • Połączenie programatora czasowego i ściemniacza

    witam serdecznie, po raz kolejny sięgam do was po poradę. albo pomysł, co tam wolicie :) . ale do rzeczy: czy możliwe jest połączenie (lub raczej podłączenie) automatycznego wyłącznika elektronicznego, CHOCIAŻBY takiego: (nie chcę podawać do aukcji allegro bo takie linki mają krótką żywotność) oraz jakiegoś ściemniacza? podałem akurat ten przykład bo...

    Elektryka Instalacje i Sieci   19 Cze 2011 20:41 Odpowiedzi: 11    Wyświetleń: 7425
  • Programator czasowy LX U02 - funkcja RANDOM

    W tym jest opis: www.e-system.com.pl/instrukcje/ZSD_16.pd... Prawdopodobnie we wszystkich takich programatorach funkcja random jest taka sama. "Przycisk RANDOM: Jeżeli w programie AUTO wniśniemy przycisk RANDOM to zadziała system symulacji obecności i odbiornik (światło) zostanie włączany 0-32min. od 18:00 do 6:00"

    Elektryka Instalacje i Sieci   25 Gru 2011 20:11 Odpowiedzi: 3    Wyświetleń: 14157
  • przebiegi czasowe prądu w dwójniku szeregowym RLC

    Domyślam się że używasz programu PSPICE. W źródle Vsrc do ćwiczeń możesz przyjąć dowolne napięcie DC. Ja w dla symulacji przyjąłem 5V. W Analisys setup zaznaczasz Transient. Po kliknięciu transient przyjmij dla tego zadania Final Time 10ms. Przesyłam wynik symulacji.

    Programy EDA   17 Lut 2012 16:32 Odpowiedzi: 4    Wyświetleń: 3741
  • Zwłoka czasowa przy przełączaniu switcha.

    Aby wydłużyć czas zwłoki należy zwiększyć pojemność kondensatorów, lub zwiększyć rezystanję rezystorów, lub oba te elementy naraz. Ze względów układowych rezystancji nie można zwiększyć w szerokich granicach ze względu na wysterowanie tranzystora aż do nasycenia (w nasyceniu się nie grzeje). Zwiększenie pojemności wypadkowej, to połączenie kondensatorów...

    Projektowanie Układów   19 Sty 2013 22:29 Odpowiedzi: 5    Wyświetleń: 2184
  • Easy512-DC-R - układ czasowy-timer

    To co chcesz zrobić: PRACA/POSTUJ da się zrobić... W każdym "wątku" używasz dwóch Timerów: jeden - czas pracy, drugi - czas postoju. Timerów (w tym modelu) można użyć ...16 . Ściągnij soft (nawet demo), napisz program, sprawdz na symulacji; Program wgraj do sterownika - można "z palca" bez kosztownego kabelka i płatnego softu; Ciesz się działającym...

    Automatyka Przemysłowa   09 Wrz 2013 19:07 Odpowiedzi: 3    Wyświetleń: 1500
  • Wskaźnik rozładowania akumulatora z opóźnieniem czasowym pomiaru

    Nie wiem skąd u ciebie taka wrażliwość i agresja od razu. Jeśli nie chcesz pomóc to nie pomagaj a nie się obrażasz jak ktoś śmie Ci wystosować uwagę. Gdzie widzisz w moich postach wrażliwość, agresję, obrażanie się? To Ty reagujesz pretensjami na zwrócenie Ci uwagi, że polecasz swój układ, który jest bublem. Od tego się zaczęło. Wystarczy czytać wyżej....

    Początkujący Elektronicy   08 Kwi 2014 22:08 Odpowiedzi: 22    Wyświetleń: 5259
  • Miniaturowy wyłącznik czasowy - jakieś proste i praktyczne rozwiązanie

    Lock bit przestawiłem ręcznie na podstawie wzorca jednego z Attiny13, na który wczytywałem różne programy może w którymś z nich była komenda zmieniająca Fuse bity i Lock bit. Program Proteus jest dość ciekawy poza symulacjami można w nim zrobić płytki PCB. Na szybko zmontowałem sobie taką dla ATTiny13 i Attiny2313 bo wcześniejsza wersja z przewodami...

    Projektowanie Układów   09 Mar 2016 22:29 Odpowiedzi: 50    Wyświetleń: 6036
  • Opóźniacz zał. głośników jak przerobić na przekaźnik czasowy

    Tak powinno być ok, ale nie ma sensu robić precyzyjnej symulacji żeby określić dokładnie czas. Sprawdź doświadczalnie i zobaczysz, czy ci pasuje, ew. skoryguj wartości. Przy za długim czasie najprościej podregulować zmniejszając R1, przy za krótkim trzeba wymienić jakiś element, najprościej zwiększyć C2, ale można też próbować zwiększać R1 a w ostateczności...

    Początkujący Elektronicy   17 Wrz 2016 14:24 Odpowiedzi: 11    Wyświetleń: 2196
  • - Millis() jako wyłącznik czasowy

    Spójrz na mój przykład: [syntax=c] // Pin 12 has an LED connected on most Arduino boards. // give it a name: int led = 12; int przycisk = 7; unsigned long czas_teraz; unsigned long czas_start = 0; unsigned long czas_zwloka = 500; // the setup routine runs once when you press reset: void setup() { // initialize the digital pin as an output. pinMode(led,...

    Arduino   01 Mar 2017 19:00 Odpowiedzi: 15    Wyświetleń: 2415
  • Easy soft, działanie przekaźnika czasowego T

    Dziękuję za szybką odpowiedź. Niestety w symulacji nie działa, stale pracuje Q1. W zakładce cykl symulacji mam czas rzeczywisty. Walczę z różnymi kombinacjami od rana, może to wina wersji DEMO?

    Automatyka Sterowanie PLC   04 Cze 2017 18:23 Odpowiedzi: 7    Wyświetleń: 3429