REKLAMA

symulacja licznik

Znaleziono około 481 wyników dla: symulacja licznik
  • atmega8[C] - Niedziałające przerwania od przepelnienia licznikow T0 i T1

    Z tego co czytalem w datasheecie to przy ustawieniu bitow preskalera CS.. na 0 licznik sie wylacza. Da sie to robic inaczej? Jest to dobry sposób. Zerowanie wartosci licznika 2 zrobilem na wszelki wypadek, bo nie bylem pewny czy czegos nie wpisuje jeszcze przy ostatnim takcie zanim sie wylaczy w przerwaniu, uwazasz ze to zbedne? Nie oto chodzi. Pętla...

    Mikrokontrolery AVR   09 Lis 2012 19:40 Odpowiedzi: 4    Wyświetleń: 1335
  • Przebigi czosowe liczników, pojemność licznika, lczniki mod.

    Moze ktos mi narysować przebiegi czasowe licznikow bo wszedzie szukałem maiłem program do symulacji ale tam nie było tyle układów co mam zrobić przebeigi Podjacie dla kazdego z licznikow POjemnośc licznika licznik mod Dzielnik częśtopliwosci przez prosze narysujcie mi przebiegi dla licznikow 1-2 CLKA i Qa 3-4 CLKB Qb Qc Qd 5-6 CLKA Qa , QB , Qc ,Qd...

    Początkujący Elektronicy   27 Maj 2007 21:39 Odpowiedzi: 3    Wyświetleń: 1228
  • problem z czasem symulacji oraz silnik DC w multisim 10

    no nie da sie , tam jest niby wszystko ładnie opisane , ale coś się zmieni to nic praktycznie się nie zmienia w symulacji , a licznik- tran u dołu programu dalej pokazuje wszystko w ms.

    Projektowanie PCB   05 Cze 2009 15:10 Odpowiedzi: 4    Wyświetleń: 1572
  • REKLAMA
  • Liczniki symulacja, licznik mod5, jak to zrobić? EWB

    Witam, mam problem z symulacją liczników synchronicznych , nie wiem jakie wejścia zmieniać aby otrzymywać przykładowo mod5 , mod28 (do przodu do tyłu i zatrzymać do pewnej liczby) Symulacje mam zrobić w EWB . Zamieszczę screen z licznikiem mod10 , jeśli ktoś wie o co chodzi niech pisze z góry dziękuję :) .Czytałem trochę na ten temat w podręcznikach...

    Początkujący Elektronicy   25 Lut 2008 17:37 Odpowiedzi: 4    Wyświetleń: 3719
  • REKLAMA
  • Symulacja impulsów licznika rowerowego

    Witam, Mam prędkość i przejechane metry, jak z tych dwóch informacji wygenerować impulsy podobne jak robi to kontaktron w liczniku rowerowym? Czyli im szybciej jade to impulsy lecą szybciej. Ale 1km drogi ma zawsze tą samą liczbę imulsów?

    Mikrokontrolery   01 Sty 2015 23:22 Odpowiedzi: 5    Wyświetleń: 834
  • SYMULACJA W PSPICE LICZNIK MOD 6

    Witam, chciałem zasymulować układ liczników zliczających do 6 (0,1,2,3,4,5) i ustawiłem w digital setup by wszystkie przerzutniki miały wyjścia ustawione na 0 (by miały ustalone stany początkowe) i o to otrzymałem na wyjściach: a schemat wygląda tak: Dlaczego dalej licznik nie chce zatrybić?

    Początkujący Elektronicy   04 Mar 2018 18:56 Odpowiedzi: 0    Wyświetleń: 762
  • Jak zrobić symulację pracy licznika na Arduino? - sygnał prostokątny 5 V na 12 V

    Będę chciał wykonać symulację pracy licznika dzięki Arduino, mianowicie będzie generować sygnał prostokątny ze zmienną częstotliwością i wypełnieniem o amplitudzie 5 V na podstawie prędkości z GPS-u, na tej podstawie będzie zmieniać częstotliwość i wypełnienie. Zakładam, że potrzebuję napięcia ok. 12V jak w aucie. Oczywiście jestem na razie na etapie...

    Początkujący Elektronicy   04 Cze 2022 08:54 Odpowiedzi: 17    Wyświetleń: 540
  • REKLAMA
  • atmega 16 jako "licznik" impulsow

    Witam Próbuje napisać program, którego głównym zadaniem będzie zliczanie impulsów i oczywiście odpowiednie reakcje po przekroczeniu zadanych poziomów. Problem w tym, że nie bardzo potrafię sobie poradzić z wykorzystaniem wbudowanego licznika, na elektrodzie jest kilka tematów z tym związanych, ale nie bardzo potrafię to poskładać w całość :| Znalazłem...

    Mikrokontrolery   22 Gru 2010 20:07 Odpowiedzi: 7    Wyświetleń: 5726
  • Licznik asynchroniczny modulo 6/11 - błąd w schemacie czy efekt hazardu?

    Rozumiem, że mówisz o drugiej siatce. Jednak robiąc tak jak napisałeś, czyli łącząc brązową jedynkę z tą do góry zieloną zbliżamy się z powrotem do pierwszej siatki. Co oznacza, że takie zakreślenie nie jest symetryczne względem żadnej z osi zaznaczonych na rysunku. Więc jak to jest z tą symetrycznością? Jest ona wymaga czy może wyłącznie z jakiegoś...

    Projektowanie Układów   13 Lis 2013 06:45 Odpowiedzi: 4    Wyświetleń: 3444
  • Wysokie rachunki za wodę po instalacji liczników Techem - czy to normalne?

    ale ja nie rozumiem jak miałem w 40 - metrowym mieszkaniu w 2 osoby zużyć 160tys litrów wody Metraż mieszkania nie ma tutaj nic do rzeczy. Co do symulacji. Symulacja to odczyt z 31.05 na podstawie średniego zużycia wody z poprzedniego okresu (brak fizycznego odczytu). Przy wymianie liczników (27.09 i 28.09) miałeś fizyczny odczyt co wynika z zapisów....

    Forum Budowlane   21 Lut 2019 11:33 Odpowiedzi: 9    Wyświetleń: 6000
  • REKLAMA
  • Symulacja krokowa zatrzymuje się - AVRStudio

    Faktycznie trzeba "troche" poczekac zanim wykona podprocedure. Pojawil sie drugi problem: Po wykonaniu podproczedury i przejsciu do [ret] program wraca ale na sam poczatek programu a nie do miejsca gdzie zostal wywolany. To jest ten program ( chyba musze sprawdzic w domu czy taki sam ): include "m128def.inc" .def full = R16 .def licznik1 = R17 .def...

    Mikrokontrolery   06 Kwi 2005 20:51 Odpowiedzi: 5    Wyświetleń: 1190
  • VHDL licznik mod + zliczanie doł-góra.

    Sprawa wygląda następująco , potrzebuje pomocy w napisaniu licznika działającego z mod8 mod4 mod2 mod1 , oraz musi zliczać w doł lub górę , oraz od pewnej liczby zawartej w rozkazie. in_MDS - magistrala po której przychodzi rozkaz od układu sterowania z adresem tego licznika (2 downto 1) - adres (1) - zapis/odczyt in_MG - magistrala po której przychodzi...

    Początkujący Elektronicy   25 Lut 2010 15:59 Odpowiedzi: 0    Wyświetleń: 5619
  • s7-300 i konfiguracja szybkich liczników

    Witam! Też mam do zrobienia projekcik "Stół do cięcia szkła". Dotąd nie używałem szybkich liczników i enkoderów. 1.Szybki licznik można użyć do podłączenia enkodera (wyznaczenia odległości)? 2.Wiem, że enkoder może być z dwoma fazami przesuniętymi o 90' do wykrywania kierunku. 2.a) Czy takie rozwiązanie zajmuje dwa szybkie liczniki? 2.b) Czy da się...

    Automatyka Sterowanie PLC   14 Lip 2019 17:32 Odpowiedzi: 44    Wyświetleń: 9772
  • LOGO Soft Comfort V6-symulacja -

    Witam Mam pytanie, czy istnieje możliwość nagrania symulacji w Logo soft comfort v6? Da się. Jest sporo aplikacji typu "desktop recording". W ten sposób pokazywałem jak np. działa to co zaprojektowałem. Dodano po 3 Siema, jestem początkującym, więc jak coś pisze źle to proszę poprawić ;) Posiadam Logo soft comfort v7 i symulacja jako taka jest - ale...

    Smart Home IoT   30 Wrz 2014 21:51 Odpowiedzi: 2    Wyświetleń: 2547
  • Quartus II - projekt zawierający kilka liczników.

    Witam, Podpenę sie pod temat. Czy ktoś może mi pomoc w Quartus II wykonać kilka liczników. Mam już je zaprojektowane na papierze. Lecz nie wiem jak dobrze narysowac i wykonac symulacje. kazda pomoc wskazowka bedzie ok. Temat nieaktywny od 5 lat. Pytanie Kolegi nie jest bezpośrednio związane z tematem, dlatego wydzielam jako nowy temat. 3.1.19. Nie wysyłaj...

    Programowalne układy logiczne   18 Mar 2018 20:45 Odpowiedzi: 0    Wyświetleń: 870
  • Liczniki sychroniczne i asychroniczne

    Potzrebuje oddac sprwozdanie z symulacji komputerowej licznikow sychronicznych i asychronicznych z pracowni elektrycznej i elektronicznej prosze o pomoc Dodano po 1 To ma ktoś sprawozdanie z lizcników

    Początkujący Elektronicy   25 Maj 2007 16:12 Odpowiedzi: 3    Wyświetleń: 803
  • Xilinx ISE Design Suite - Testbench licznika

    nie o to chodzilo z zegarem, to rozwiazanie w zasadzie nie rozni sie od poprzedniego; generalnie w 'swiatku' fpga dazy sie do tego, by wszystkie rejestry byly taktowane jednym zegarem; nie jest to jakas moda, lecz efekt wlasciwosci sprzetu [fpga]; kod powinien miec taka postac: [syntax=verilog] module licznik_do_3 ( input WE_licz, input CLK, output...

    Programowalne układy logiczne   12 Cze 2014 19:21 Odpowiedzi: 6    Wyświetleń: 2226
  • Nietypowy licznik. Działający według podanego schematu.

    ściągnąłem sobie tego circuitmakera, ale nie łapie sie w nim, a w multisimie też coś wariuje bo kiedy na starcie na liczniku powinna być np wartość 0 to jest wartość losowa i musze odpalac tak długo aż trafie na poprawną wartość, albo ten program kiepsko symuluje albo ja coś robie nie tak. Jak masz jeszcze ten plik do symulacji to możesz wrzucić to...

    Projektowanie Układów   01 Gru 2008 12:10 Odpowiedzi: 15    Wyświetleń: 2982
  • Jak zbudować licznik modulo 106 na 7490?

    Tak to wygląda w symulacji: Następny impuls wejściowy wyzeruje liczniki. Pozdrawiam.

    Projektowanie Układów   12 Kwi 2009 19:31 Odpowiedzi: 6    Wyświetleń: 6752
  • Licznik modulo 204 metodą skracania cyklu liczników + zatrzask RS

    problem zaczyna się dopiero przy zastosowaniu owego zatrzasku RS wydłużającego czas zerowania. Pierwszy raz spotykam się z koniecznością zastosowania takiego mechanizmu i nie mam pojęcia, jak go zaimplementować, dlatego proszę o pomoc w jego implementacji. Potrzeba tego wydłużania zerowania dotyczy zjawisk występujących w realnych układach tego typu,...

    Nauka Elektroniki, Teoria i Laborki   11 Sty 2022 18:22 Odpowiedzi: 4    Wyświetleń: 627
  • licznik asynchroniczny o poj. 13 na przerz. jk

    A nie mozna tego zrobic prosciej? Wykorzystujesz przeciez liczniki z wejsciem zerujacym. Wszystkie J=K=1. Wejscia CLK z wyjsc poprzedniego licznika. Wyjscia przerzutnikow Q3A, Q2B, Q11A (stan 1101=13) na wejscia bramki NAND trojwejsciowej. Wyjscie bramki NAND do polaczonych wejsc ~CLR tych czterech przerzutnikow. W sumie uklad liczy modulo 13 (stany...

    Początkujący Elektronicy   12 Cze 2007 18:39 Odpowiedzi: 8    Wyświetleń: 3459
  • Licznik i inne standardowe komponenty....

    1. Czy istnieje cos takiego jaka standardowa biblioteka komponetow dla VHDL (odpowiednik STL w C++). Rozumiem, ze VHDL jest jezykiem nisko poziomowym, sprzetowym, uniwersalne elementy, zazwyczaj nie sa optymalne, itp.: Ale zeby nie mozna bylo napisac kilku uniwersalnych komponentow, niezaleznych od platformy sprzetowej, parametryzowalnych itp.: typu...

    Programowalne układy logiczne   26 Paź 2007 11:24 Odpowiedzi: 3    Wyświetleń: 1389
  • MPLAB - symulacja przetwornika AC

    Probuje w wyzej wymienionym srodowisku zasymulowac dzialanie przetwornika AC dla procka pic18f4553, lecz nie wiem jak zadac na wejscie przetwornika jakis sygnal,ktory moglby zostac przetworzony. Stworzylem stimulus,ktorego zadaniem jest zmiana stanu portu bedacego wejsciem przetwornika AC, lecz po zakonczeniu przetwarzania w rejestrze wynikowym bez...

    Mikrokontrolery   27 Mar 2008 17:46 Odpowiedzi: 1    Wyświetleń: 872
  • PIC16f1847 - licznik impulsów

    Problem już rozwiązałem :) adamwesola - masz mój pierwszy rysunek schemat blokowy timera0, bit PSA ma inne zastosowanie, a mianowicie on włącza lub wyłącza preskaler a nie licznik. Mój błąd to rutyna :( zacząłem programować PIC w MPLAB ale było ciężko, trochę toporne :( więc poszukałem innego środowiska i znalazłem mikroC PRO for PIC. Bawiłem się w...

    Mikrokontrolery PIC   01 Wrz 2011 13:53 Odpowiedzi: 18    Wyświetleń: 3741
  • Wejście licznika częstotliwości od 1Hz do 100 MHz

    Witam, Przymierzam się do wykonania licznika częstotliwości. Chciałbym zastosować rozwiązanie zaproponowane na stronie Jakie sygnały można podać na wejście w tym przypadku? Zmienne, przemienne, jakie poziomy napięć? Autor również twierdzi, że układ działa poprawnie. Na czerwono zaznaczyłem interesującą mnie część. Preskaler /10 z innym rozwiązaniem...

    Początkujący Naprawy   02 Sty 2015 18:55 Odpowiedzi: 0    Wyświetleń: 948
  • Kserokopiarka - Jak wykonać zewnętrzny licznik wydrukowanych stron

    W tej kopiarce licznik mechaniczny to opcja. Za sygnał odpowiada złącze YC13 na płycie engine. Na obrazku ma Kolega rozrysowany układ połączeń dla licznika. Po zamontowaniu należy jeszcze licznik włączyć w symulacji U24. Może Kolega poszukać licznika dla Kyocery KM1650 - to to samo urządzenie. pozdrawiam k.

    Drukarki, ksero Początkujący   11 Wrz 2015 21:07 Odpowiedzi: 7    Wyświetleń: 891
  • Symulacja enkodera za pomoca inwertera, sledzenie produktu

    Skieruj enkoder na wejscie przerwaniowe .Zlicz impulsy wkladając kulkę ciasta i patrząc kiedy wyjdzie.Dostosuj rozdzielczość do prędkości sterownika{jak będziesz miał nawet 10 cm to i tak będzie to dokładniejsze niż masz teraz}.Sterując zboczem utwórz podeprogramy czy tabelę ze skokami obliczając co zajmie mniej czasu w twoim sterowniku.Na początku...

    Automatyka Przemysłowa   19 Lis 2021 10:41 Odpowiedzi: 12    Wyświetleń: 564
  • Budowa układu Licznik synchroniczny z przerzutników typu D w LogiSim

    Licznik synchroniczny o dowolnej pętli liczenia z przerzutników typu D realizujący sekwencje: 0-1-3-5-7-10-13-15 Projektowanie licznika synchronicznego o niestandardowej sekwencji liczenia wymaga przemyślenia schematu połączeń przerzutników oraz logiki kombinacyjnej, która będzie odpowiedzialna za odpowiednie przełączanie stanów. W przypadku sekwencji...

    Automatyka Przemysłowa   14 Lis 2023 20:50 Odpowiedzi: 1    Wyświetleń: 183
  • laborki tc, licznik liczący w dół w przedziale.

    witam! mam problem z laborką na TC X< otóz mam do zrobienia: licznik który liczy w dół w przedziale który zalezy od danych wejściowych. otór pierwsza liczba odpowiedzialna jest za górny przedział i nastepujaco 0 - 14 1 -10 2 - 13 3 - 12 4 - 11 5 - 15 6 - 9 druga liczba odpowiada za dolny przedział i wygląda to tak 0 - 5 1 - 3 2 - 2 3 - 0 4 - 6 5...

    Początkujący Elektronicy   01 Kwi 2008 18:27 Odpowiedzi: 1    Wyświetleń: 1182
  • Symulacja AVR pod Proteusem

    Soorki ale mylisz pojęcia. AVRStudio to ogólnie mówiąc asembler, który generuje kod absolutny czyli nie relokowalny a więc taki, który nie potrzebuje operacji linkowania, w przeciwieństwie do GCC, które tej operacji wymaga. Poza tym GCC to kompilator języka wysokiego poziomu jakim jest "C" a to zupelnie co innego niż AVRStudio. AVRStudio generuje dwa...

    Mikrokontrolery   19 Wrz 2010 15:41 Odpowiedzi: 6    Wyświetleń: 4797
  • Symulacja post-route, skąd te pliki? Jak sie ich pozbyć?

    W przypadku symulacji post-route, pojawiają się dziwne (dla mnie) "piki"/.../ ten problem przewijal sie juz przez elektrode; chodzi o to, ze przy przejsciu licznika od wartosci np. ff do 100 przez chwile na wejsciu ukladu porownujacego stan licznika i color_B(CONV_INTEGER(pwm_in) moze pojawic sie dowolna wartosc miedzy 0 a 1ff, zaleznie od opoznien...

    Programowalne układy logiczne   28 Sty 2009 17:04 Odpowiedzi: 6    Wyświetleń: 1293
  • [Bascom]Licznik z wyswietlaczem 7-segmentowym

    Witam ! Kiedy piszemy skomplikowany program należy sprawdzić poszczególne fragmenty programu jeśli to jest możliwe. Ja sprawdziłem w symulacji Bascoma AVR, jak działa zamiana liczby czterocyfrowej na pojedyncze cyfry, aby można je było wpisać do poczwórnego wyświetlacza LED. I oto co mi wyszło : w miejscu Licznik = dla symulacji można wpisać dowolną...

    Mikrokontrolery AVR   23 Paź 2009 12:52 Odpowiedzi: 11    Wyświetleń: 3313
  • [VHDL] - Niedziałąjąca symulacja

    FPGA to nie procesor, ktory pobiera linijke po linijce kod vhd i go wykonuje, lecz zbior bramek i przerzutnikow ktore synteza laczy ze soba wg. opisu w vhd. Twoj opis nie daje syntezie 'oczywistego' obrazu jak zrealizowac algorytm. W szczegolnosci FPGA nie wie, ktory to CLK9600'event nastapil, wiec ten kod jest nierealizowalny. [Twoj kod wymaga takiej...

    Programowalne układy logiczne   11 Gru 2015 08:06 Odpowiedzi: 3    Wyświetleń: 2136
  • [AVR Studio] Symulacja przerwań

    Trzeba tak samo, jak w procesorze, włączyć je i skonfigurować w procedurze inicjalizacji/resetu. Pauzujesz symulację i przełączasz się na pracę krokową i udajesz kwarc... ;) Np. po odpowiedniej ilości cykli zegara pojawi się przerwanie od timera/licznika, jeżeli wcześniej je włączysz w TIMSK i ustawisz preskaler w TCCR0 dla Timer0. Zmieniasz wartość...

    Mikrokontrolery AVR   10 Gru 2004 01:29 Odpowiedzi: 15    Wyświetleń: 3639
  • Protel - symulacja

    Dzień dobry! Z dobroci serca proszę czy mógłby któryś z szanownych tutaj ekspertów zasymulować w protelu kostke 74LS192 (biblioteka bodajże 74xx) i zobaczyć czy w czasie symulacji gdy jest podana wartosc początkowa np. 0111 on zaczyna od tej właśnie wartosci odliczac - w góre lub w dół to już obojętne - mi zawsze odlicza od jedynki :( (przy odliczaniu...

    Projektowanie Układów   28 Kwi 2005 11:36 Odpowiedzi: 0    Wyświetleń: 753
  • Licznik 4017

    Tak, podobają mi się te projekty. Trochę odejdę od tematu: Jakiego używacie programu do symulacji ?? Ja mam kilka róznych, min elektronic workbench (czy jakos tak) w wersji 5,2 (chyba) i jestem z tego programu bardzo zadowolony. Jedynym mankamentem jest brak aktualizacji, brak nowych ukladow. Mam multisima (nastepca w/w) ale jakos sie do nego nie moge...

    Projektowanie Układów   12 Sie 2009 23:26 Odpowiedzi: 24    Wyświetleń: 11133
  • Symulacja czasowa w Activ-HDL 7.1sp2, ISE WebPack 8.2i sp2

    Tony, Wczesniej bardzo czesto wykorzystywalem stymulatory z GUI z poziomu waveforma (prawie zawsze :)). Klikam dodaje zegar, klikam dodaje hotkey, dodaje licznik, bardzo szybko mozna przetestowac czy wogole cos dziala itp... . Teraz sytuacja przedstawia sie nastepujaco. Symulacja czasowa -> Testbanch - Waveform 1. Symulujac uklad z wykorzystaniem wymuszen...

    Programowalne układy logiczne   22 Wrz 2006 00:56 Odpowiedzi: 18    Wyświetleń: 5522
  • Licznik na przerzutnikach JK RS T. Multisim 8

    Witam Mam następujący problem. Zrobiłem takie małe projekciki do szkoły z przerzutnikami. Jest to licznik 0>2>4>6>8>10>11>12>13>14>6 itd. Od strony teoretycznej wydaje mi sie, że jest wszystko dobrze gorzej z wykonaniem. Licznik na przerzutniku D zrobiłem za pierwszym razem i działa. Pozostałe zrobiłem podobnie do D ale już niestety nie chcą działać...

    Elementy Elektroniczne - zamienniki i identyfikacja   18 Lut 2008 21:58 Odpowiedzi: 0    Wyświetleń: 1089
  • liczniki do "owijarek" z kalkulatora, jak zrobić t

    Propozycja układowa: Po włączeniu zasilania, elementy R2,C2 i U4C zerują licznik sterujący U2 Po zakończeniu impulsu zerowania licznik zaczyna zliczać impulsy z generatora na R1,C1 i U4A buforowane przez inwerter U4B. Z co drugiego wyjścia licznika (symulacja wciśnięcia i zwolnienia klawisza) wychodzą sygnały sterujące kluczami CMOS z układu 4066. Zwierają...

    Początkujący Elektronicy   22 Lip 2008 00:02 Odpowiedzi: 5    Wyświetleń: 5838
  • Symulacja czasowa w activ hdl.

    To wlasciwie jest odpowiedz a nie pytanie, wiec pewnie do tej pory sam rozwiazales ten problem. Na wypadek gdyby kto inny tez mial taki problem: rozmiary bibliotek w katalogu ise i active są takie same "simprim" O ile nie robisz symulacji po Place&Route to powinna Cie interesowac raczej biblioteka UNISIM. Różnice w symulacjach Modelsim i Active hdl...

    Programowalne układy logiczne   23 Lut 2009 16:36 Odpowiedzi: 2    Wyświetleń: 1583
  • [VHDL] Symulacja kodu w ISE 11.1

    Witaj. To co piszesz wydaje się normalne że nie możesz przesymulować. Pewnie clocka nie masz i innych sygnałów. W tym miejscu proponowałbym Ci abyś doinstalował sobie modelsima xe (tylko dla układów firmy xilinx). W poprzednich wersjach było tak, że tworzyłeś sobie plik *.tbw i ustawiałeś clocka itd. w nowej wersji jest inaczej tworzysz sobie plik test...

    Programowalne układy logiczne   08 Lip 2009 10:51 Odpowiedzi: 4    Wyświetleń: 2686
  • Xilinx xc3s200-144. Symulacja OK, ale układ nie działa

    Witam. Programuję ten układ prostym przykładem z książki, podczas symulacji jest wszystko OK. Po wgraniu konfiguracji na wyjściu nic się nie dzieje, cały czas jest stan '0'. Oto kod: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity divider is ----------------------------------------... generic ( NBit...

    Programowalne układy logiczne   07 Sty 2010 09:51 Odpowiedzi: 6    Wyświetleń: 1945
  • licznik 16 bitowy procesora PicoBlaze

    1) zaprojektowaniu sprzętowego modułu licznika 16-bitowego dla procesora PicoBlaze: - licznik ma być konfigurowalny - do jakiej wartości zliczać - po zliczeniu do zadanej wartości powinno następować zgłoszenie przerwania - można skonfigurować licznik aby po zliczeniu i zgłoszeniu przerwania automatycznie się restartował lub zatrzymywał - licznik można...

    Programowalne układy logiczne   16 Lut 2010 00:01 Odpowiedzi: 17    Wyświetleń: 2992
  • VHDL symulacja sekwencyjnego układu cyklicznego

    Odświeżam. Teraz problem związany z tym układem dotyczy czego innego. Za pomocą edytora BDE muszę połączyć 3 jednostki projektowe w jedno. Pierwszy układ jest licznikiem. Posiada wejścia zegarowe i resetujące oba typu "bit" oraz wyjście typu integer, które jest podłączone do wejścia innego układu także typu integer. Kompilator pokazuje, że to połączenie...

    Programowalne układy logiczne   21 Maj 2011 19:59 Odpowiedzi: 7    Wyświetleń: 2582
  • Symulacja pracy sterownika EDC16

    ja mam już stanowisko do testowania sterowników tylko żeby uruchomić ten sterownik to jest trochę roboty ale mam tak jak trzeba gateway licznik i całe immo mogę jednoczesne zgrać do kupy na stole plus symulacja ecu w rożnych warunkach pracy...

    Samochody Elektryka i elektronika   11 Mar 2012 16:16 Odpowiedzi: 4    Wyświetleń: 4494
  • [Atmega8][Proteus] - Symulacja 13x wolniejsza

    .okazuje sie ze symulacja idzie okolo 13x wolniej Oczywiście że tak. Przecież to symulacja, nie na darmo masz podany na dole czas który zgadza się z pracą Uc który używasz w symulacji.. Każdy element który dodasz do projektu musi obrobić twój Pc i do tego jeszcze musi to symulować w twoim symulowanym Uc. :D Nie wiem czy coś z tego zrozumiałeś. W każdym...

    Mikrokontrolery AVR   06 Paź 2012 07:38 Odpowiedzi: 3    Wyświetleń: 1497
  • Multisim 8 - Licznik na przerzutnikach T + bramki

    Witam Masz kilka błędów w tym schemacie, omówię je odnosząc się do rysunku poniżej: 1. Co to w ogóle jest? Albo zwierasz X1 z VCC albo zwierasz X1 z masą? To wywali Ci od razu całą symulację. Wstaw tam po prostu przełącznik, w rysunku na końcu odpowiedzi masz pokazane jak to zrobić 2. W równaniu wychodzi Ci bramka XOR, a na schemacie wstawiasz XNOR,...

    Projektowanie Układów   07 Kwi 2013 15:05 Odpowiedzi: 4    Wyświetleń: 3816
  • Liczniki - Zerowanie licznika

    Chciałbym się jeszcze zapytać o przerzutnik RS. Otóż wyżej uruchomiony układ powinien mi generować przy pomocy bramki NAND podłączonej do wyjść drugiego licznika impulsy, gdy zliczy do odpowiedniej liczby. Chciałbym, aby ten impuls zablokował wyjście latch'a. Impuls jest dość krótki(300ns) i chciałbym aby on trwał, aż do wyłączenia go przez użytkownika(przycisk...

    Projektowanie Układów   13 Gru 2013 23:54 Odpowiedzi: 3    Wyświetleń: 2814
  • DSM51 - Program ASM. na dsm51 symulacja otwierania/zamykania bramy

    witam was. Piszę program na pracę przejściową do szkoły który bedzie symulował otwieranie i zamykanie bramy. Oczywiście wszystko bedzie symulowane na zaprojektowanej przeze mnie płytce. Diody ułożone jedna po drugiej bedą sie zapalały w prawą stronę jednoczesnie przy tym bedzie mrugała dioda sygnalizująca działanie programu. Narazie wszystko jest realizowane...

    Nauka Szkolnictwo   22 Mar 2014 15:34 Odpowiedzi: 0    Wyświetleń: 960
  • Multisim - Licznik asynchroniczny - złe 2 pierwsze liczby, resetowanie.

    Witam! Zbudowałem sobie z przerzutnika wyzwalanego zboczem narastający przerzutnik wyzwalany zboczem opadającym, oto jego schemat: Następnie z tych przerzutników zbudowałem licznik asynchroniczny liczący od 0 do 15, schemat: Zamieniłem go w taki symbol: Gdy przeprowadzę symulację to zapalają mi się diody: x1,x2,x3 następnie x0,x1,x2,x3 a potem licznik...

    Projektowanie Układów   05 Sty 2015 18:04 Odpowiedzi: 0    Wyświetleń: 2058
  • Zasilacz 400V do licznika Geigera

    Nowy zmodyfikowany schemat zasilacza oraz układ formowania impulsów, który u mnie wchodzi w skład zasilacza symulacja w MocroCap. Uzasadnia dodanie potencjometrów w układzie. Jeśli nie ma potencjometru 100k i nie można ustawić dokładnie poziomu i poziom na wejściu + drugiego wzmacniacza będzie za niski w przypadku z symulacji poniżej 1.3V to na wyjście...

    Początkujący Elektronicy   25 Sie 2016 01:12 Odpowiedzi: 8    Wyświetleń: 4575
  • ATMEGA - Komunikacja ATMEGA8 po SPI a przepełnienie licznika 1

    Witam, Symulacja przesyłania/odbioru przez SPI działa w debugerze AVR Studio 4 gdy nie jest czynna obsługa przerwania przepełnienia licznika1 ISR(TIMER1_COMPA_vect), ale po przepełnieniu licznika zerują się wszystkie zmienne. Jeżeli obsługa przerwania jest aktywna (nawet pusta) to symulacja interface SPI nie działa. Brak wtedy sygnału zegarowego na...

    Mikrokontrolery Początkujący   30 Mar 2015 17:01 Odpowiedzi: 8    Wyświetleń: 969
  • Licznik szeregowy modulo 13

    Cześć, próbuje zrobić licznik szeregowy modulo 13, liczący w górę z wykorzystaniem przerzutników D. Jednak po odpaleniu symulacji nie następuje nigdzie reset z 12 do 0 - po osiągnięciu 12 już nigdy nie zmienia się wartość. Tutaj są moje tablice. Kolumny są ponumerowane w ten sposób: DCBA, gdzie 7 = 0111 Mała poprawka, w kolumnie A jest: A'D' + A'C'D....

    Nauka Elektroniki, Teoria i Laborki   07 Gru 2016 22:11 Odpowiedzi: 0    Wyświetleń: 1800
  • Yamaha YZF 600 - Symulacja obrotomierza i błędy wskazań

    Witam, buduję sobie nowy licznik do thundercata i zacząłem od obrotomierza. Nie miałem z tym dotąd żadnego doświadczenia dlatego zacząłem od symulacji wskazań obrotomierza. Zasiliłem całość z 12V i podaję sygnał prostokątny(najpierw o amplitudzie 5V, a potem 12V, ale nic to nie zmieniło) na wejście obrotomierza. Zrobiłem głupotę, że wyciągnąłem wskazówkę,...

    Motocykle, Motorowery   12 Kwi 2018 07:38 Odpowiedzi: 4    Wyświetleń: 966
  • Licznik modulo 29 na 74193 - nieokreślone wyniki przy użyciu Load

    Dzień dobry, Mam do wykonania licznik modulo 29 oparty na układzie scalonym 74193. Ma on za zadanie liczyć od 0 do 28 lub od 28 do 0 w zależności od tego jaka wartość zostanie przypisana do wejścia "kierunek"(1 - liczy w góre, 0 - liczy w dół). Wykonałem schemat jednak mam problem z sygnałem Load. Wydawało mi się że najlepszym sposobem będzie podłączenie...

    Początkujący Elektronicy   03 Sty 2019 01:01 Odpowiedzi: 3    Wyświetleń: 675
  • 10 aplikacji do symulacji obwodów dla amatorów i profesjonalistów

    Nie, pojedyńcze bramki i przerzutniki znam kilkadziesiąt lat. Bardziej mnie interesuje symulacja złożonych układów, np. 50 liczników i bramek. TTL2000 dobry jest dla osób mających dopiero styczność z układami logicznymi.

    Artykuły   24 Lis 2019 12:14 Odpowiedzi: 21    Wyświetleń: 29811
  • Symulacja sterowania unipolarnym silnikiem krokowym CMOS na przycisk

    Możesz wykorzystać NE555 jako źródło sygnału zegarowego (tryb multiwibratora, z częstotliwością raczej nie większą niż 1Hz aby dało się obserwować zmiany na LEDach). Jak widać na załączonym obrazku cały cykl składa się z 8 pozycji, więc będziesz potrzebował albo 3-bitowy binarny licznik, albo 8-bitowy licznik pierścieniowy. Sygnał z zegarowy z NE555...

    Mikrokontrolery Początkujący   01 Maj 2020 17:02 Odpowiedzi: 18    Wyświetleń: 963
  • Funkcjonowanie i symulacja układu z serii CD4017 - pytania o działanie selektora

    na symulacji tak się nie dzieje Przecież nie będzie działać, masz bezpośrednio połączone wejście reset z Q3 co uniemożliwia poprawny reset. Daj z wejścia MR do masy rezystor ~10k a wyjście Q3 poprzez diodę np. 1N4148 katodą do MR. Wtedy po włączeniu zasilania MR dostanie dodatni impuls dzięki C3 a jak "przekręcisz licznik" do aktywacji Q3 to poprzez...

    Początkujący Elektronicy   23 Wrz 2021 20:35 Odpowiedzi: 12    Wyświetleń: 705
  • Siemens Logo 8.3 24CE: Budowanie menu z licznikami i nawigacja przyciskami kursora (C)

    Witam. Nie wiele to zmieni na wyjściu bramki wyzwalanej zboczem oczywiście otrzymam impuls ale na wejściu tej samej bramki mam stan wysoki przez około sekundę może półtora pochodzący z przycisku kursora. Jeśli chcę np. w ciągu sekundy nacisnąć kursor 3 razy to jest to nie możliwe. Działa identycznie jak opóźnienie wyłączenia ze zwłoką ok 1s. Robiłem...

    Automatyka Sterowanie PLC   20 Lis 2022 09:29 Odpowiedzi: 5    Wyświetleń: 480
  • Zmodyfikowany kod licznika 8-bitowego na Arduino Uno: funkcje, przyciski i LCD

    Scrolla można by zrobić w oparciu o bufor cykliczny. Co prawda nie mam arduino z wyświetlaczem więc pozwoliłem sobie go zasymulować w terminalu w oparciu o kody ANSII

    Arduino   03 Lis 2022 00:20 Odpowiedzi: 10    Wyświetleń: 585
  • bascom i liczniki

    Jeszcze raz. Ja mam wersje 2.0.8 i u mnie oba liczniki licza tak samo w symulacji. Jak ktos ma wersje 2.0.10 to niech sobie wrzuci pierwszy post i niech sprawdzi czy w symulacji oba liczniki zliczja w tym samym tempie - bedzie to widac najlepiej na rejestrach TH0 i TH1. Koledzy(kolezanki) z innymi wersjami Bascom8051 tez bardzo zapraszamy - bo moze...

    Mikrokontrolery   08 Lis 2003 00:17 Odpowiedzi: 12    Wyświetleń: 1713
  • AT90S1200. Zerowanie flagi przepełnienia TOV0 licznika w rejestrze TIFR.

    Mam pytanko jak zerowac flage przepelnienia TOV0 licznika w rejestrze TIFR? W dokumentacji pisza, ze nalezy wpisac 1 na ten bit, ale to na pewno nie dziala (robie symulacje w ACR Studio i obserwuje co sie dzieje w poszczegolnych rejestrach).

    Mikrokontrolery   04 Mar 2005 08:35 Odpowiedzi: 2    Wyświetleń: 1716
  • CANON CP660 - licznik wydruków - wsad pamięci - dokumentacja

    w tej drukarce znajduje się licznik wydruków - w kasecie bębna znajduje się moduł EEPROM S93C46 - 128x8 bit -potrzebuję wsad do tej pamięci, wg niektórych (zasłyszanych informacji) można do 3x "oszukać" maszynę (symulacja wymiany bębna) - tyle podobno wytrzyma jeden bęben, po zatym gdyby ktoś posiadał jakiekolwiek informacje na temat tej drukarki -...

    Drukarki, ksero Profesjonalne   05 Gru 2008 00:07 Odpowiedzi: 4    Wyświetleń: 1947
  • Magnesy naodymowe a dokładność licznika

    Dużo straszenia(słownictwo rodem z sali sądowej), a niewiele prawdy. Jak to jest z tą dokładnością liczników energii? Co ile lat mają być sprawdzane i ewentualnie wymieniane? Teoria to jedno, a jak jest z tym w praktyce? Jak na prawdę wygląda sprawa testów i dopuszczania(bądź nie) licznika do użytku? Czy aby na pewno podchodzi się do tematu ze śmiertelną...

    Elektro Maszyny i Urządzenia   11 Lut 2006 15:05 Odpowiedzi: 286    Wyświetleń: 371283
  • Dzielnik częstotliwości przez 101 na dwóch licznikach 74190

    Oczywiście, że się da. Liczniki te maja tą własność, że można im wpisać stan dowolny początkowy (nawet od 10 do 15) za pomocą wejścia LOAD'. Wtedy przy zliczaniu w dół zachowują się jak zwykłe liczniki binarne w tym jednym cyklu; następny cykl pracy już jest od 9 do 0. Sprawa została już rozwiązana - po prostu układ nie działał w moim programie do symulacji,...

    Początkujący Elektronicy   17 Lis 2005 20:50 Odpowiedzi: 4    Wyświetleń: 4550
  • MSP430 - symulacje. Przykładowy kod. Przerywanie z portu P1.0.

    Witam. U mnie zrobone jest to w ten sposb. Nie sprawdzalem tego na symulatorze, kodzik dziala w makiecie. Korzystam z IAR v3.20. #define INT_PIN 0x01 [....] P1IFG = 0x00; // Kasowanie flag przerwań na P1 P1DIR &= ~INT_PIN; P1IES |= INT_PIN; P1IE |= INT_PIN; // Zezwolenie na przerwanie _BIS_SR(GIE); // Globalny bit przerwania [...] #pragma vector = PORT1_VECTOR...

    Mikrokontrolery   19 Mar 2006 16:44 Odpowiedzi: 1    Wyświetleń: 1380
  • Licznik synchroniczny HELP

    Siemka nie wiem czy to odpowiedni dział..., ale napisze... Na pracowni w szkole otrzymałem polecenie: Wykorzystujac przerzutniki JK zaprojektuj, zmontuj i sprawdź poprawność działania układu synchronicznego licznika, zliczającego w przód impulsy wejściowe w kodzie Graya dwubitowym. Nauczyciel kazał mi zrobić symulacje tego układu w workbenchu. Zrobilem...

    Projektowanie Układów   30 Maj 2006 16:01 Odpowiedzi: 0    Wyświetleń: 894
  • Bascom - symulacja w czasie rzeczywistym

    Witam Czy można jakoś ustawić symulator Bascom żeby działał w czasie takim jak napisane jest to w programie? W programie mam ustawione zwiększanie licznika co sekundę, a w symulatorze trwa to około 4, 5 sekund. Po wgraniu do mikrokontrolera wszystko jest w porządku. Pozdrawiam.

    Mikrokontrolery   01 Cze 2006 23:05 Odpowiedzi: 2    Wyświetleń: 2025
  • [Verilog] Licznik zliczający w dół

    witam co do materiałów do veriloga to polecam stronke www.opencores.com można sobie tam ściągnąć całą masę układów napisanych w verilogu, dużo ciekawych artykułów o projektowaniu itd itp sam zobacz, w jezyku polskim nie ma ksiązek do veriloga, mam troche materiałów po angielsku jeśli jesteś zainteresowany daj znać postaram się coś wysłac co do syntezy...

    Programowanie   08 Cze 2006 13:12 Odpowiedzi: 3    Wyświetleń: 1248
  • Licznik Atmega 16. Program działa na symulatorze, na uP nie chce działać.

    WItam Mam problem z programem w Bascomie. Dziala na symulatorze ale po zaladowaniu do uP nie chce dzialac. Ma to byc licznik pracy wraz z zegarem i pomiarem temp pomieszczenia. Dziekuje za odpowiedz '******LCD timer dziala symulacja kelpsydry :)******* Deflcdchar 1 , 31 , 17 , 10 , 4 , 4 , 10 , 17 , 31 Deflcdchar 2 , 31 , 31 , 14 , 4 , 4 , 10 , 17 ,...

    Mikrokontrolery   20 Paź 2006 13:00 Odpowiedzi: 2    Wyświetleń: 1544
  • TTL'e - nie wiem jak zrobić licznik, prosze o pomoc.

    ... Czasami ciężko jest wpaść na coś, mimo, że jest to blisko. Natura ludzkiego umysłu jest taka, że ciężko mu wyjść poza pewne granice. Ja myśląc o komparatorze pierwsze co mi wpadło do głowy to właśnie ta kostka... Zupełnie nie pomyślałem o cyfrowym komparatorze. Jak tylko przeczytałem pańskiego posta to jedna myśl mi przyszła. Komparator 7485 lub...

    Początkujący Elektronicy   12 Lis 2006 14:46 Odpowiedzi: 12    Wyświetleń: 2329
  • Licznik 74160. Jak go uruchomić?

    podepne sie pod temat bo mam identyczny TTL Zadaniem jest zaprojektowanie licznika liczacego od 3 do 7 na 74160. Zaprojektowalem wiec uklad w multisimie, rysunek zalaczam ponizej, kiedy odpalam symulacje ogolnie nic sie nie dzieje, wiec chcialbym sie dowiedziec czy ten uklad nie pracuje bo jest zly czy nie pracuje dlatego ze multisim kiepsci sprawe...

    Początkujący Elektronicy   09 Gru 2006 19:35 Odpowiedzi: 11    Wyświetleń: 6713
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    ok dzieki za tak wiele wiadomości-postaram się to sprawdzić sobie....a jakiś dobry programik do symulacji brameczek mozęsz polecić???mam tego micro-cap ale nie bardzo wiem jak to się tam obsługuje i jakis łatwiejszy musiał bym być...mam też mulimedia-logic ale ten też jakis jest dziwny...hi hi... a za pomoc dzieki serdeczne...postara się z tego wszystkiego...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 12134
  • problem z licznikiem w ahdl`u

    Witam Mam taki oto problem stworzyłem sobie taki oto kod. Układ ma tylko wejście zegarowe i 3 wyjścia, zadeklarowalem sobie maszyne stanów 3 kolejno następujące po sobie od s1 do s3 i tak tak w koło z s3 przechodzi do s1 a każdemu ze stanów przypożądkowane są inne wartości na wyjściach, problem jaki napotkałem to to iż mimo że zastosowałem licznik licz...

    Programowalne układy logiczne   20 Mar 2007 09:31 Odpowiedzi: 3    Wyświetleń: 1493
  • logo i cykl, symulacja układu, impulsy na wejściu.

    do twojego zadania zastosuj "Pulse relay"(wejście "Trigger"). Człon ten daje na wyjściu "1" co drugi impuls. Co do dalszej części twojego problemu to nie za bardzo rozumiem: ale po pewnej zadanej ilości impulsów znów daje na wyjściu 1 i zabawa trwa od nowa do zadanej ilości impulsów pomożecie ? Co ma się stać po osiągnięciu np 51 imulsów (liczonych...

    Automatyka Przemysłowa   25 Sty 2007 06:10 Odpowiedzi: 7    Wyświetleń: 1443
  • licznik ATmega8 asembler - coś nie tak z tym programem

    Przepraszam za zakładanie nowego wątku w temacie tyle razy poruszanym na forum ale właśnie program oparty na wiedzy z forum mi nie działa. Ogólnie układ powinien co 1sek zwiększać wartość na linijce diodowej podłączonej do portu B i w symulacjach na WINAVR to właściwie działa jednak, gdy wgrałem program do kości ciągle obserwuje świecenie pierwszej...

    Mikrokontrolery   09 Maj 2007 18:41 Odpowiedzi: 6    Wyświetleń: 1854
  • Liczniki CTU w Microwin S7 200 CPU 214 i panel TD200

    Witam! Przeprowadziłem implementację tak jak napisałeś lecz jest mały problem Jeśli chodzi o model S7 200 to mam CPU 214. Jak widać na zrzucie ekranu w Integer Match nie mam komponętu dodawani 2 liczb rzeczywistych. Więc w STL przepisałem kod ręcznie i oto co otrzymałem ...... ( widać na foto w trakcie uruchomionego Program Status) Dziwne długie liczby...

    Automatyka Przemysłowa   24 Maj 2007 23:15 Odpowiedzi: 4    Wyświetleń: 3437
  • Symulacje, prezentacje - wie ktoś skąd wziąć ?

    Po pierwsze nie jestem pewny czy w dobrym temacie napisałem, jak coś proszę o przeniesienie do prawidłowego. Nie wie ktoś skąd wziąć prezentacje, działania: - kodowania i dekodowanie z systemu 10 na binarny - liczników synchronicznych i asynchronicznych. Potrzebuje to pokazać w szkole, więc szukam czegoś jakby symulacji działania, prezentacji czegoś...

    Projektowanie PCB   04 Cze 2007 17:19 Odpowiedzi: 2    Wyświetleń: 978
  • Dzielnik czestot. + licznik w XC3s500E - problem

    Licznik: begin process(reset,enable,clock) begin if reset='1' then sCV <= "0000000000000000"; end if; if CLOCK='1' then if enable='1' then sCV<=sCV + 1; end if; end if; end process; reset powinien byc w tym samym "if" co reszta licznika. Kod będzie bardziej przejrzysty. Raczej stosuj reset synchroniczny, oczywiście zależy czy...

    Programowalne układy logiczne   06 Lip 2007 07:56 Odpowiedzi: 4    Wyświetleń: 1695
  • Problem z trybem CTC licznika

    a przypadkiem o zrobieniu z pina.5 wyscia to nikt nie zapomniał bo migać to to bedzie ale w symulacji tylko ...

    Mikrokontrolery   12 Sie 2007 20:55 Odpowiedzi: 4    Wyświetleń: 1053
  • Counter0 jako licznik - dziwna sprawa?

    Witam. Niby błahe ale już sam nie wiem: ustawiam Timer0 jako licznik impulsów podawanych na tę nogę 89c2051 i w symulacji programowej jak wyzeruję P3.4 to liczy mi impulsy cały czas a nie tylko przy zboczu. Jak ustawić ten licznik aby liczył podawane na niego impulsy? Oto kod: Config Timer0 = Counter , Mode = 1 , Gate = External 'To drugi Timer1 do...

    Mikrokontrolery   17 Lis 2007 17:31 Odpowiedzi: 0    Wyświetleń: 765
  • Licznik na przerzutnikach JK RS T. Multisim 8

    Witam Mam następujący problem. Zrobiłem takie małe projekciki do szkoły z przerzutnikami. Jest to licznik 0>2>4>6>8>10>11>12>13>14>6 itd. Od strony teoretycznej wydaje mi sie, że jest wszystko dobrze gorzej z wykonaniem. Licznik na przerzutniku D zrobiłem za pierwszym razem i działa. Pozostałe zrobiłem podobnie do D ale już niestety nie chcą działać...

    Początkujący Elektronicy   18 Lut 2008 17:45 Odpowiedzi: 1    Wyświetleń: 6800
  • AHDL licznik mod 10 oraz konwerter NA 7 segmentowy

    jak wygenerować przebiegi tak aby to wyglądało jak licznik? licznik jest ok, jedyna uwaga to taka, ze asynchroniczne zerowanie DFF jest aktywne LOW, wiec zapis: count oznacza, ze zerujesz przerzutniki stanem wysokim, tak na wszelki wypadek, jakbys sie nie doczytal tego szczegolu w dokumentacji; nie umiem ci odpowiedziec na pytanie o przebiegi, bo go...

    Programowalne układy logiczne   07 Mar 2008 12:43 Odpowiedzi: 22    Wyświetleń: 5267
  • Wincupl, symulacja w WINSIMie, gdzie jest błąd?

    Rzeczywiście pomyliłem typy bramek.Jeżeli znasz ten język programowania to czy wiedziałbyś jak zrealizować połączenie wewnętrzne(nie wychodzi ono na zewnątrz gala) które wygląda jak w tym układzie scalonym : Chodzi mi mianowicie o bramkę AND która łączy dwa wyprowadzenia pierwszego licznika dziesiętnego i wejścia CLK i steruje drugim licznikiem.Stany...

    Projektowanie Układów   19 Mar 2008 00:51 Odpowiedzi: 3    Wyświetleń: 1518
  • licznik synhroniczny za pomocą multiplexera

    a o jakim symbolu powinienem użyć multipleksera bo chciałem zrobić symulacje w work benchu ale nie wiem co wybrać i jak go podłączyć do przerzutników no i wolałby pozostać przy tych już J-K.. poniżej przedstawiony jest schemat połączenia przerzutników

    Początkujący Elektronicy   16 Kwi 2008 14:33 Odpowiedzi: 5    Wyświetleń: 1281
  • Quartus II i problem w symulacji CPLD

    /.../Zadaniem tego układu jest demultipleksacja/.../ nie powiem, bym byl calkiem pewien, co chcesz zrobic ... jesli dobrze sie domyslam, uklad "licznik-dekoder" ma 'przemiatac' 8 rejestrow, do ktorych wejsc 'D' polaczyles jakies dane; moze zamiast licznika i dekodera zrob tak: reg [7:0] decoder = 8'h01; integer i; always (at)(posedge...

    Programowalne układy logiczne   08 Maj 2008 14:44 Odpowiedzi: 8    Wyświetleń: 2823
  • Symulacja układu zaimplementowanego w strukture

    Wydaje sie ze nie mogę od tak sobie zamienić numeracji tych rejestrów no rzeczywiscie, raczej nie; odpowiadajac mialem przed oczami to, co generuje tzw. megawizard altery zapomnialem, ze Ty pracujesz z xilinxem; a ten megawizard produkuje wlasnie wrapper dookola makra altery; a wrapper to 'otoczka' dookola jakiegos gotowego modulu, ktora laczy go z...

    Programowalne układy logiczne   22 Lip 2008 09:27 Odpowiedzi: 33    Wyświetleń: 5025
  • Sterownik PLC jak działaja timery? - symulacja komputerowa.

    arkady_pl: Mariusz, nie wiem jak Ty, ale ja już "stary" jestem i moja wiedza sięga okresu gdy używano germanowych tranzystorów ASY34. Dla mnie counter i timer to dwa różne układy, gdzie counter jest częścią składową timer'a. Sam counter jest układem zliczającym impulsy, natomiast timer to układ zawierający generator impulsów, counter oraz układ porównywania...

    Automatyka Przemysłowa   18 Kwi 2009 09:06 Odpowiedzi: 14    Wyświetleń: 12123
  • Licznik modulo 10 w AHDL z mikrooperacjami.

    poprawilem swoj kod zgodnie (narazie bez mikrooperacji) z Twoja sugestia ale w wyniku symulacji tego licznika nie pojawia sie zaden wykres. Czy jeszcze czegos brakuje czy to jest normalne zachowanie? Pytam bo jeszcze nie opanowalem max+2 zeby nad nim panowac. Title "Licznik mod10"; SUBDESIGN cnt_mod10 ( wej_x, zegar : INPUT; wyj[1..4],...

    Programowalne układy logiczne   14 Sty 2009 15:55 Odpowiedzi: 18    Wyświetleń: 4088
  • Licznik - post-route - pomóżcie znaleźć błąd

    1.zapis signal count_next : STD_LOGIC_VECTOR(31 downto 0) := (0=>'1', others=>'0'); jest zjadliwy podczas symulacji, lecz niesyntezowalny do rzeczywistego układu. wartość po reset'cie sprzętowym jest zazwyczaj zerowana. bezpiecznej jest zadać wartość początkowową wprowadzając globalny sygnał resetu. 2. sygnał count_next...

    Programowalne układy logiczne   28 Sty 2009 12:45 Odpowiedzi: 18    Wyświetleń: 1551
  • LICZNIK w kodzie BCD

    aha..no dobra zmieniłem ten zegar na 1 i dalej lipa. Dodano po 4 no tak masz rację ale trzeba jeszcze pamiętac o tym,że przd symulacją trzeba wyzerować przerzutniki...Ups teraz chodzi Dodano po 22 tak zgadza się poprawiłem uklad tak jak mówiłeś i zastanawiam się tylko dlaczego licznik zlicza co drugi takt a nie za każdymym taktem jak sygnał zegarowy...

    Początkujący Elektronicy   16 Lut 2009 18:45 Odpowiedzi: 13    Wyświetleń: 9371
  • VHDL - Licznik mod3 w kodzi Gray'a z asynchro resetem

    Ok, chyba zrozumiałem Twój kod, ale mam jeszcze 1 mały problem. Gdy kompiluję Twój kod, kompilator przyczepia się do operacji: bits <= bits + 1; Dokładny error brzmi następująco: "Operator "+" is not defined for such operands." W pierwszej chwili dodałem dodatkowe biblioteki. Gdy dodałem IEEE.std_logic_unsigned.all lub IEEE.std_logic_unsigned.all...

    Programowalne układy logiczne   03 Maj 2009 22:43 Odpowiedzi: 4    Wyświetleń: 3868
  • Licznik czasu impulsu. Jak zbudować licznik?

    Tak może być zrobiony ten układ: Wyświetlacze - o wspólnej katodzie (przy 5V zasilania można pominąć rezystory anodowe). Wartości elementów - z symulacji, mogą wymagać korekt. Pozdrawiam.

    Projektowanie Układów   23 Kwi 2009 19:23 Odpowiedzi: 11    Wyświetleń: 4304
  • Licznik impulsów

    Czy teraz jest poprawnie ? Nie, jeśli nieznaczące zera mają być wygaszane, to trzeba tak połączyc dekodery: Na schemacie nie ma rezystorów "katodowych" wyświetlaczy (dla symulacji są zbędne). Pozdrawiam.

    Projektowanie Układów   12 Paź 2009 20:38 Odpowiedzi: 26    Wyświetleń: 15147
  • Jakim licznikiem zastąpić 74193 ?

    Mam zaprojektować dekadę rewersyjną na 74193. Do symulacji układów używam programu Electronics Workbench, i tu pojawia się problem bo w jego bazie nie ma 74193. Jakim licznikiem mogę go zastąpić ? Czy istnieje możliwość dogrania jakiegoś zestawy uzupełniającego do tego softu ? PS. Ogólny pomysł żeby ustawić na wejściu 9, zegar dać na C-, przy wykryciu...

    Początkujący Elektronicy   04 Maj 2009 22:02 Odpowiedzi: 2    Wyświetleń: 1148
  • Nietypowy problem z licznikiem, Atmega64, bascom

    W pliku podczas symulacji nie znalazłem niczego "zdrożnego". Istniało podejrzenie, że BASCOM nie do końca dobrze kompiluje instrukcję CONFIG TIMER3 (która jest nadal nieoficjalna), ale bity sobie poustawiał dobrze. Myślałem, że w szufladce będzie jakaś Mega64 ale niestety same 128-ki. Zatem sprawdzić na żywo się nie udało. :( Powiedz jeszcze w jakiej...

    Mikrokontrolery AVR   28 Wrz 2009 21:44 Odpowiedzi: 4    Wyświetleń: 1457
  • prosty licznik - koniec procesu zliczania

    dziękuje działa Dodano po 14 działa dziękuje ok teraz mam pytanie chce skorzystać z gotowego IP Core dzielenia i go generuję i działa w płycie a w symulacji ISE zgłasza mi ERROR:Simulator:170 - work/dzielenie/dzielenie_a is not compiled properly. Please recompile work/dzielenie/dzielenie_a in file "D:/Bibloteki/BinToBCD/ulepszan...

    Programowalne układy logiczne   15 Paź 2009 17:47 Odpowiedzi: 20    Wyświetleń: 1923
  • WebPack - problem z symulacją

    Witam udało mi się dzisiaj uruchomić bezbłędnie ModelSima, wystarczy robić wszystko krok po kroku jak we wbudowanym ISE Symulator ( dla pliku źródłowego - Wave- Test Bench) jedynie w projekcie tzreba zmienić symulator z Wbudowanego na ModelSima i mi tak ruszyło bezbłędnie. Uwaga dla niewtajemniczonych - ja się dałem bardzo łatwo nabrać >> trzeba zmienić...

    Programowalne układy logiczne   15 Paź 2009 07:26 Odpowiedzi: 20    Wyświetleń: 2571
  • 7493 licznik 13 modulo + 7400???

    Proszę o pomoc bo nie wiem jak zbudować licznik(7493) modulo 13 wykorzystując do tego 7400. Próbowałem już parę razy ale na symulacji mi nie wychodzi, :!::cry:

    Początkujący Elektronicy   22 Paź 2009 22:35 Odpowiedzi: 6    Wyświetleń: 4953
  • Symulacja układów cyfrowych i jego przebiegi czasowe.

    Witam, Użyj EWB. Pozdrawiam wszystkich jjanek stary - a wtedy, kiedy pokazał się to uznany za poczciwego - EWB do tego celu (sprawdzania ścigania się sygnałów - hazard dynamiczny) nie nadaje się ... Wielokrotnie to sprawdzone, a nie dalej jak przedwczoraj był tu temat o skracaniu "długości liczenia" asynchronicznego licznika TLL typu SN7493 i - oczywiście...

    Początkujący Naprawy   24 Paź 2009 15:28 Odpowiedzi: 3    Wyświetleń: 1347