REKLAMA

symulator bramka

Znaleziono około 231 wyników dla: symulator bramka
  • Rzadko zadawane pytania: po co opornik 100 ohm w bramce MOSFETa?

    Pytanie: Czy konieczne jest umieszczanie opornika 100 Ω w bramce tranzystora MOSFET, by zachować jego stabilność? Odpowiedź: Jeśli zadamy to pytanie dowolnemu, doświadczonemu inżynierowi - na potrzeby tej historii nazwijmy go profesorem Gureux - to powie nam, że w bramce tranzystora MOSFET musimy "umieścić opornik koło 100 Ω". Pomimo ogromnej...

    Artykuły   11 Cze 2018 07:38 Odpowiedzi: 17    Wyświetleń: 8859
  • REKLAMA
  • Zabezpieczenie mostka H zapomocą bramek logicznych

    Dodano po 4 Witam, mam prośbę jeśli ktoś ma możliwość sprawdzić oscyloskopem czy na wyjściach pojawi się logiczna 1 równocześnie. Na symulatorze bramek logicznych działa OK, ale to tylko symulator.

    Początkujący Elektronicy   10 Wrz 2021 11:26 Odpowiedzi: 45    Wyświetleń: 1314
  • [Pascal] Program Bramki Logiczne w Pascalu.

    a tutaj dowód na to, że się nie łatwo poddaje. Podaje kod. To już prawdziwy dopracowany kombajn. :D PROGRAM BramkiLogiczne; Uses Crt; VAR x,y:boolean; a,b,c,t:char; PROCEDURE wartosc; BEGIN WriteLn(); WriteLn('Podaj dwa stany na wej˜ciach wybranej bramki (1 (TRUE) lub 0 (FALSE)):'); Write('Stan...

    Programowanie Początkujący   14 Sty 2010 20:22 Odpowiedzi: 6    Wyświetleń: 2550
  • REKLAMA
  • Symulator bramek logicznych

    Chciałbym zaprezentować forumowiczom symulator sieci bramek logicznych, który pełni funkcję dydaktyczną. Układ szerzej opisałem na stronie Prezentacja symulowanego obwodu. Na tablicy z nadrukowanym schematem symulowanego obwodu są zapalane lub gaszone kontrolki LED sygnalizujące stan wysoki (logiczne 1) albo stan niski (logiczne 0) na wejściach i wyjściach...

    DIY Poczekalnia   04 Sty 2021 11:14 Odpowiedzi: 17    Wyświetleń: 11376
  • Układy logiczne z użyciem bramek NAND.

    Użyj symulatora bramek logicznych. I sam sobie sprawdzisz i przy okazji czegoś się nauczysz. Czy Twoje bramki w zeszycie muszą leżeć na boku?

    Nauka Elektroniki, Teoria i Laborki   10 Sty 2017 18:11 Odpowiedzi: 8    Wyświetleń: 4080
  • Prosty symulator pamięci EPROM

    Obecnie mikrokontrolery posiadają wbudowane pamięci FLASH, które można programować za pomocą interfejsu ISP. Jest to zarówno szybkie, jak i wygodne rozwiązanie. Programowanie okładu odbywa się bowiem bez jego wyciągania z podstawki. Zresztą w tej chwili układów DIP jest coraz mniej, więc interfejs ten jest tym bardziej przydatny. Ponadto współczesny...

    DIY Konstrukcje   29 Kwi 2018 09:54 Odpowiedzi: 20    Wyświetleń: 11988
  • QSPICE: Nowy symulator układów elektronicznych - część 8

    W Analiza statyczna SiC FET W przykładach w tym artykule zostanie użyty FET UF3C120400K3S — to tranzystor polowy z węglika krzemu. Jest on obecny w bibliotece QSPICE. Urządzenie jest dostępne w obudowie TO-247-3L i odznacza się ultraniskim ładunkiem bramki oraz wyjątkowymi charakterystykami zwrotnej reakcji. Czyni go to idealnym do przełączania obciążeń...

    Artykuły   05 Mar 2024 15:20 Odpowiedzi: 1    Wyświetleń: 750
  • Eliminacja hazardu, T-MS na bramkach

    Witam, muszę stworzyć przerzutnik T-MS na bramkach. Aby tego dokonać skonstruowałem przerzutnik D i T, po czym połączyłem je razem (D jako Master i T jako slave) i do D dałem zegar normalnie, a do T zegar przez NOTa. Problem jest taki, że pojawia się hazard. Wyeliminowałem go w symulatorze (atanua) poprzez dodanie zamiast jednego NOTa (na zegarze) -...

    Projektowanie Układów   26 Lis 2008 15:51 Odpowiedzi: 2    Wyświetleń: 1295
  • REKLAMA
  • przełącznik z bramek logicznych

    Do tego nadaje się gotowy przerzutnik typu D np. CD4013 plus dwie bramki AND. Wyglądało by to coś koło tego. Możesz to sobie sam przetestować w tym prostym symulatorze.

    Początkujący Elektronicy   16 Lut 2009 20:56 Odpowiedzi: 7    Wyświetleń: 5511
  • Symulator ALU 74181, uproszczony schemat?

    Ciekawy temat :). Mam pomysł jak by to mogło funkcjonować pod warunkiem że interesują nas tylko sygnały wejściowe i wyjściowe bez możliwości podglądania stanów na poszczególnych bramkach. '181 to jednostka 4 bitowa więc słowa wejściowe i wyjściowe mogą być reprezentowane przez tablice bitów: bool word_A[4]; //słowo wejściowe A bool word_B[4]; //słowo...

    Nauka Elektroniki, Teoria i Laborki   06 Cze 2012 21:12 Odpowiedzi: 1    Wyświetleń: 3513
  • Logika na bramkach do układu robota.

    Dzieki wielkie, działa bez najmniejszych problemów na symulatorze. Zobaczymy jak to przełozy sie w praktyce. Pozdrawiam.

    Robotyka Modelarstwo i CNC   23 Lut 2008 21:12 Odpowiedzi: 4    Wyświetleń: 1315
  • Tworzenie bramek z innych bramek

    Witam. Zbliża sie wielkimi krokami koło z arch. komputerów a na nim jak w temacie trzeba bedzie tworzyć bramki z inncyh bramek. Podam przykłady: Mając do dyspozycji bramkę NAND zbudoj bramke OR. albo Mając do dyspozycji bramkę NAND zbuduj bramke NOR. albo Mając do dyspozycji bramki XOR i OR zbuduj bramke AND. :idea:...i teraz pytanie: czy istnieje(szybki)...

    Początkujący Elektronicy   21 Lis 2006 22:29 Odpowiedzi: 8    Wyświetleń: 9660
  • Nowy symulator układów mocy SiC MPLAB umożliwia testowanie elementów SiC Microchipa

    Energoelektronika szybko rozwija się na rynku w wielu dziedzinach życia codziennego. Urządzenia danego rodzaju są coraz częściej wykonywane z wykorzystaniem półprzewodników, takich jak węglik krzemu (SiC), ze względu na jego wybitne osiągi tego typu materiału pod względem szybkości, wydajności i odporności na wysokie temperatury. Projektanci sekcji...

    Newsy   17 Kwi 2023 20:23 Odpowiedzi: 0    Wyświetleń: 450
  • REKLAMA
  • 10 letni symulator alarmu

    Działanie takiego układu zależy od parametrów użytych elementów - trzeba by albo porządnie wczytać się w katalogi (a ja nie mam pod ręką wystarczających danych), albo sprawdzić praktycznie. Konieczne jest, by w układzie był inwerter ze Schmidtem na wejściu. Ale przy spełnieniu tego warunku widzę jeszcze takie możliwe problemy: 1. przerzucenie bramki...

    Artykuły   28 Mar 2018 16:44 Odpowiedzi: 4    Wyświetleń: 3015
  • Symulator układów logicznych

    Witam, poszukuje symulator układów logicznych, tzn. po narysowaniu pewnego schematu skł. się z bramek logicznych pokazywał mi stany na wyjściu danego układu zależnie od stanów na wejściu. Znalazłem pewien symulator o nazwie LogicSim, ale jest on pisany w Java (zbyt wolno mi chodzi) i jest niezbyt dopracowany. Czy ktoś zna jakiś symulator ogólnie dostępne?

    Programowalne układy logiczne   06 Maj 2007 21:21 Odpowiedzi: 2    Wyświetleń: 9877
  • Wykonanie symulatora obecności - poszukiwanie sterownika

    No chyba musisz sobie wykonać....i obejdzie się bez układów scalonych.. Projekt prostego symulatora obecności. Jego zadaniem jest zapalanie i gaszenie świateł lub innych urządzeń AGD (4) w zadanej kolejności z ustalonym okresem. Symulator załącza sie dopiero po zmierzchu. Urządzenie oparte na bramkach logicznych. W skład projektu wchodzi dokładny opis...

    Początkujący Elektronicy   12 Mar 2008 01:43 Odpowiedzi: 3    Wyświetleń: 1242
  • Pytania odnośnie bramek logicznych. Jak można je łączyć?

    Czy 2 bramki NOT łacząc tak jak na rysunku można otrzywać bramkę NAND? Wydaje mi się, że można bo zachowuje się identycznie jak NAND moje wątpliwości pojawiły się dlatego, że po podłaczeniu tego mutanta (dwóch bramek ) w symulatorze nie działa. Na marginesie: Czy ten układ ma prawo działać w rzeczywistości? Dodano po 1 Nie pisać, że łatwiej wykorzystać...

    Początkujący Elektronicy   10 Wrz 2008 16:21 Odpowiedzi: 10    Wyświetleń: 4226
  • Szukam danych katalogowych bramek NAND , AND , XOR.

    Na symulatorze Electronics Workbench podpinam układy z seri40.. odpowiadające poszczególnym funkcją które mnie interesują i na wyjściu otrzymuje pomiar 5V nie wiem czy to tylko przez ten symulator i w praktyce jednak uzyskam efekt który chce uzyskać czyli podać na wejścia 12V i aby na wyjściu także było 12V przykładowo na układ 4011 podać dwa stany...

    Początkujący Naprawy   05 Gru 2009 14:08 Odpowiedzi: 8    Wyświetleń: 2630
  • Tp-Link 1043nd, DD-WRT, bramka voip, brak ping

    Witam, ponownie. W router mam wgrany dd-wrt, i usiłuje podłączyć go z bramka signamax 065-9055, i jako mi to nie wychodzi, Ping z kompa do bramki jest, Ping z kompa do routera jest, Ping z bramki do routera nie ma ! Ping z routera do bramki nie ma ! Myślałem ze to kable bo na to wychodzi, okazuje sie ze sa dobre, sprawdzałem testerem, wymieniałem, problem...

    Hardware, Software, naprawa urządzeń sieciowych   18 Mar 2011 11:30 Odpowiedzi: 16    Wyświetleń: 3646
  • 2 bramki VOIP połączenie po IP konfiguracja.

    Witam! Właśnie jakiś czas temu tak zrobiłem. Wykorzystałem wbudowany switch w router wifi, do portu 1 jedna bramka, do 2 druga bramka, posłuchałem jakie się przypisały adresy, poustawiałem i jedna do drugiej i na odwrót działa ok. Problem się zaczyna gdy chcę przełączyć jedną z bramek z kabla na wifi. Wtedy konfiguracja jest następująca: PAP2T ->...

    Sieci LAN   21 Kwi 2011 20:35 Odpowiedzi: 13    Wyświetleń: 5538
  • Multisim 8 - Licznik na przerzutnikach T + bramki

    Witam Masz kilka błędów w tym schemacie, omówię je odnosząc się do rysunku poniżej: 1. Co to w ogóle jest? Albo zwierasz X1 z VCC albo zwierasz X1 z masą? To wywali Ci od razu całą symulację. Wstaw tam po prostu przełącznik, w rysunku na końcu odpowiedzi masz pokazane jak to zrobić 2. W równaniu wychodzi Ci bramka XOR, a na schemacie wstawiasz XNOR,...

    Projektowanie Układów   07 Kwi 2013 15:05 Odpowiedzi: 4    Wyświetleń: 3816
  • Projektowanie kodera 1 z 10 na NKB - czy układ na bramkach OR jest poprawny?

    Dzień dobry mam zaprojektować układ takiego kodera w wybranym symulatorze. Z tablicą prawdy nie mam problemu i wyszła mi ona taka: Jednak mam problem ze zweryfikowaniem poprawności narysowania układu na bramkach logicznych, nie wiem czy dobrze rozumuje, z każdego wejścia wyprowadzam tyle stanów niskich ile jest w zapisie cyfry binarnej? Posiłkując się...

    Nauka Elektroniki, Teoria i Laborki   15 Maj 2020 20:17 Odpowiedzi: 8    Wyświetleń: 2583
  • Problem z układem z bramkami NAND

    Witam. Ja tak może z innej beczki. A nie wolał byś pobawić się tymi bramkami przy pomocy jakiegoś prostego symulatora komputerowego. Choćby Crococlip. Oprócz bramek masz tam do dyspozycji jeszcze dużo więcej komponentów elektronicznych. Pozdrawiam.

    Początkujący Elektronicy   27 Sty 2009 17:38 Odpowiedzi: 10    Wyświetleń: 1636
  • [C++]Symulator obwodów logicznych

    Jeśli pytasz o kod, to może reprezentuj każdą bramkę jako obiekt klasy, która zawiera numer id bramki od której bierze sygnał, własny numer id, oraz wartość jaką przyjmuje jej symulowane wyjście.

    Programowanie   24 Lut 2010 16:29 Odpowiedzi: 2    Wyświetleń: 1917
  • Układ elektroniczny realizujący funkcję bramki AND - jak?

    Złożyłem ten układzik w symulatorze jednak nie do końca chodzi on jak bramka AND. Niezależnie od położenia kluczy na wyjściu zawsze mam 24V.

    Początkujący Naprawy   07 Paź 2010 10:27 Odpowiedzi: 19    Wyświetleń: 2996
  • Tp-link 1043nd + Bramka voip jak skonfigurować

    Nie pamiętam czy jest pobieranie a automatu, ale "Wireless Advanced-Enable AP isolation" sprawdzę, czy masz jakieś patent jeszcze na to ? Dodano po 17 Mamy symulator do routera jeszcze przydał by się do bramki lub screeny z konfiguracji bramki zamieść, oraz dodaj schemat twojej konfiguracji sprzętu w raz z adresacja - możne być w paincie. Symulatora...

    Hardware, Software, naprawa urządzeń sieciowych   14 Mar 2011 23:47 Odpowiedzi: 20    Wyświetleń: 4838
  • Ile jest bramek logicznych na procesorze?

    O jaką konkretnie pamięć chodzi? Sądzę, że urgonowi chodziło o komórki pamięci DRAM gdzie pojedyncza komórka to 1 tranzystor + 1 kondensator (też na bazie tranzystora zasadniczo zrobiony jak mnie pamięć nie myli). Nadal taka komórka zajmuje mniej miejsca mimo geometrycznie większych elementów od komórki SRAM. Wiem, że większość symboli na tym schemacie...

    Początkujący Elektronicy   13 Sie 2012 14:23 Odpowiedzi: 18    Wyświetleń: 12613
  • Generator edukacyjny na bramce nand schmitt

    Witam! Chciałbym wykonać prosty generator i czytałem coś o bramce NAND z przerzutnikiem Schmitta. W programie Yenka próbowałem różnych sposobów i wystarczyło złączyć wyjście z oboma wejściami. W symulatorze to działało ale nie wiem jak będzie w rzeczywistości. Prosiłbym o opinie speców czy potrzebny jest jeszcze jakiś inwerter lub tranzystor lub poprawiony...

    Początkujący Naprawy   02 Wrz 2012 11:43 Odpowiedzi: 1    Wyświetleń: 2034
  • Zrób sobie FPGA z bramek 7400

    AVE... Zawsze możesz to zrobić na FPGA... Symulator układu FPGA z bramek logicznych w układzie FPGA. Brzmi jak elektroniczna Incepcja...

    DIY Zagranica   09 Lis 2012 16:03 Odpowiedzi: 5    Wyświetleń: 11508
  • Jak zasilić CD4049 w symulatorze online ?

    Wyrzuć tą baterię to nie będzie błędu, ale symulator jest chyba zbyt uproszczony, by układ miał szanse zadziałać. Bramki mają zasilanie "same z siebie" w tym programie.

    Początkujący Naprawy   01 Kwi 2013 16:29 Odpowiedzi: 9    Wyświetleń: 1512
  • Najprostsze generatory na bramkach logicznych 4011 - materiały / książki

    Symulacja bardzo spowalnia blisko progu przełączania a te idealne bramki wpadają w wysokoczęstotliwościowe oscylacje, symulator radykalnie zmniejsza timestamp i "mieli" bez końca, problem jest też z wyliczeniem punktu pracy (op).

    Początkujący Elektronicy   12 Paź 2017 11:10 Odpowiedzi: 30    Wyświetleń: 4419
  • Sterowanie bramką N-MOSFET

    Sprawdzałeś ten schemat w chociażby symulatorze? Polecam LTSpice. Możesz wyjaśnić, jak Q7 ma rozładowywać bramkę Q5?

    Początkujący Elektronicy   09 Sie 2021 19:25 Odpowiedzi: 31    Wyświetleń: 2304
  • Nowa bramka wieloprotokołowa na szynę DIN-Rail od Novakon z TI Sitara ARM

    Firma Novakon zaprezentowała niedawno kompaktową bramkę dedykowaną do konwersji protokołów z montażem na szynę DIN. Integruje ona w sobie oparty na rdzeniu ARM procesor Sitara od Texas Instruments. Tajwańska marka dostarcza również własne oprogramowanie bazujące na WWW, które umożliwia komunikację z różnymi przemysłowymi protokołami komunikacyjnymi....

    Newsy   09 Maj 2022 13:51 Odpowiedzi: 0    Wyświetleń: 408
  • Jak zrobić schemat na podstawie siatki Karnaugha w symulatorze Tinkercad?

    Pozostawienie wejścia bramki wiszącego w powietrzu nie oznacza, że na nim panuje stan zera logicznego. Na takim wejściu będą pojawiały się śmieci. W starej poczciwej TTL pozostawienie wejścia w powietrzu dawało na nim logiczną jedynkę - bramki CMOS lub HC są dużo czulsze i mogą reagować zmianą stanu nawet na zbliżenie ręki. Poczytaj o podciąganiu i...

    Nauka Elektroniki, Teoria i Laborki   02 Lut 2024 14:38 Odpowiedzi: 1    Wyświetleń: 171
  • szukam programu do symylacji bramek(and or itp)

    zamieszczam prosty symulatorek miłej zabawy

    Projektowanie PCB   24 Kwi 2003 14:11 Odpowiedzi: 5    Wyświetleń: 2631
  • Co sądzicie o projekcie symulatora 89C51 który napisałem??

    O... jak najbardziej, sam chcę coś takiego napisać, ale to jeszcze odległa przyszłość, a szukałem czegoś takiego pod windę. Czekam z niecierpliwością. Duży plus dla Ciebie za normalnie wyglądające w czasie pracy multipleksowane wyświetlacze. Chętnie zobaczył bym w nim disasemblację aktualnie wykonywanego kodu, monitor pamięci, rejestrów itp. No i poza...

    Programowanie   14 Maj 2005 04:57 Odpowiedzi: 4    Wyświetleń: 1403
  • Wysterowanie tranzystora z bramki logicznej

    Potrzebuje zbudować taki układ ale narazie chciałem go sprawdzić w programie czy będzie działał aje jak widzisz program pokazuje że tranzystor nie zadziałał. Oczywiści układ ma być bardziej rozbudowany, potrzebuje za pomocą kodu binarnego wysterować 8 takich tranzystorów. I tak jak podałeś będe musiał jeszcze stworzyć jakiś układ żeby w trakcie niewykorzystywania...

    Początkujący Elektronicy   09 Gru 2005 15:47 Odpowiedzi: 8    Wyświetleń: 2141
  • SPARTAN-3, ISE-symulator chodzi... uklad nie

    Witam Projekt przeszedł symulacje przed synteza, a po załadowaniu do mojego xc3s200 nie dawał znaku życia (nie to, że działał, ale źle... w ogóle nic sie nie działo). Ponieważ jestem początkującym postanowiłem uprościć zadania i sprawdzić czy kostka w ogóle żyje. Takie próby zrobiłem - mogę na stałe w projekcie podłączyć wyjścia i obserwuje ich stan...

    Programowalne układy logiczne   21 Lut 2008 09:40 Odpowiedzi: 8    Wyświetleń: 2612
  • Projekt Symulatora telefonicznego - sterowanie czasem

    Możesz np. przepuścić sygnał przez bramkę analogową (klucz) typu 4066 sterowaną przez układ wyznaczajcy czasy. Dobrzy by było jak byś włączenie robił w zerze. Inna metoda to generowanie tonu cyfrowo (prosty przetwornik C/A) i na wyjściu filtr dolnoprzepustowy albo środkowo przpustowy (400-440Hz), wtedy nie ma problemu z taktowaniem, częstotliwość sygnału...

    Początkujący Elektronicy   14 Sty 2008 21:10 Odpowiedzi: 4    Wyświetleń: 1140
  • Układ z przerzutnikami typ D i bramkami nie działa.

    Układ CD4017 który przedstawił kol. prezeswal również jest w symulatorze którym się posługujesz, (seria 4000). Jest to licznik (Johnsona). Tu też jest jego opis.

    Projektowanie Układów   27 Mar 2009 23:15 Odpowiedzi: 8    Wyświetleń: 2489
  • CircuitMaker 2000 generator na bramkach

    Witam W ustawieniach symulatora, w zakładce "Transform Fourier" zmniejsz mu w okienku "pkt. na cykl" nawet do 10 (literacja), u mnie pomogło i nie ma już komunikatu. Chociaż ignorując komunikat przeprowadzał symulację i sprawdź jakie masz napięcie zasilania (powinno być 5V tak jak u mnie na rysunku kilka postów wyżej). Pozdrawiam.

    Projektowanie PCB   05 Sie 2009 16:55 Odpowiedzi: 7    Wyświetleń: 2751
  • Bramki AND TTL w wersji domowej

    Z jaką częstotliwością to ma pracować? Będzie to bramka do mostka H. Na jednym z wejść ma być czoperowanie silnika (na ok 1-2A) zasilanego z 12V, to wejście będzie najczęściej zmieniać swój stan. Symulator się bardzo przydał, mogę dosłownie zobaczyć jak płynie prąd:) Dzięki temu powstało takie coś (podaję kod układu z podanego symulatora, wystarczy...

    Początkujący Naprawy   05 Cze 2012 19:45 Odpowiedzi: 15    Wyświetleń: 3194
  • Projektowanie układów na bramkach NAND

    Chyba nie zrozumiałeś pytania kol Jankolo, dokładnie pytania o to co wykonałeś samodzielnie. Nie ważne jest na jakiej płytce masz to wykonać, równie dobrze może to być symulator lub zupełnie coś innego. Pokaż czy próbowałeś w jakikolwiek sposób sam rozwiązać to zadanie i jakie były efekty (zwłaszcza, że masz napisane pod zadaniem co zrobić - krok po...

    Początkujący Elektronicy   11 Paź 2012 20:43 Odpowiedzi: 12    Wyświetleń: 1764
  • 8051 turbo51 - Realizacja bramek Logicznych na 8051 assembler

    Witam. Chodzę do 2 klasy technikum i mam problem ze zrozumieniem asemblera. Na ostatnich zajęciach mieliśmy napisać program realizujący układ z 3 bramek logicznych.Wszystko piszemy na Dosowym symulatorze T51. Kod był taki LJMP start ORG 50H start: MOV C,0 ANL C, 1 MOV 5,C MOV C,3 ORL C,2 CPL C ANL C,5 MOV 4,C LJMP$ Schemat to 3 bramki: AND, NOR i AND...

    Mikrokontrolery   07 Paź 2015 17:50 Odpowiedzi: 9    Wyświetleń: 2961
  • Symulator świecy, brak pożądanych efektów

    Układ działa, ale dioda świeci ze stałą jasnością i widać tylko bardzo małe drżenie "płomienia". Podejrzewam, że problemem może być w przebieg z generatorów opartych na bramkach Schmitta, które zawierają składową stałą To jest cyfrówka i składowej stałej raczej bym się tam nie spodziewał, ale problem zapewne polega na tym że zmieniłeś filozofię układu...

    Początkujący Elektronicy   14 Paź 2014 09:43 Odpowiedzi: 3    Wyświetleń: 1035
  • Przerzutnik typu RS - 2 bramki NOR

    Spróbuj poskładać sobie przerzutnik w symulatorku: Przy okazji wyszło, że trochę Cię oszukałem. W stanie zabronionym przerzutnik RS na NOR-ach ma dwa stany niskie na Q i /Q, co nie zmienia faktu, że tak się go nie powinno sterować.

    Początkujący Naprawy   25 Sty 2016 06:55 Odpowiedzi: 4    Wyświetleń: 5655
  • BRAMKI - 7 segmentowy wyświetlacz

    Witam, mam na zajęcia stworzyć w "falstad" symulator wyświetlacza. Ma mieć dwa bity i na sygnale: 00 ma wyświetlać 0 01 ma wyświetlać 2 10 ma wyświetlać 4 11 ma wyświetlać 6 Policzyłem wszystko, ale nie mam pojęcia jak to połączyć.. A = nie x1 lub x2 B= nie x1 C=x1 D=nie x1 lub x2 E=nie x1 lub x2 F=nie x1 lub nie x2 G=x1 lub x2 I tutaj jeszcze jak wyświetlacz...

    Początkujący Elektronicy   05 Lut 2017 22:21 Odpowiedzi: 2    Wyświetleń: 600
  • Generator NE555 jako symulator czujnika Halla. Tester

    Tranzystor polowy nie ma bazy, tylko bramkę. Jak chcesz mieć otwarty kolektor NPN, to możesz wykorzystać nóżkę 7 NE555; gorzej z PNP, trzeba dodać tranzystor PNP i go sterować z nóżki 3, ale przez dodatkowe diody, bo napięcie na nóżce 3 jest poniżej napięcia zasilania o około 1.5V; wtedy możesz podłączać testowany układ albo pod nóżkę 7, albo do kolektora...

    Początkujący Elektronicy   07 Sty 2021 07:10 Odpowiedzi: 18    Wyświetleń: 3300
  • Multisim komunika o błędzie połączenia bramek nand

    Z tym połączeniem równoległym nie jest aż tak źle jak pisze krzysiek_krm, impulsy prądu skrośnego pomiędzy bramkami będą, ale one występują również w każdej pojedynczej bramce, może nie jest to rozwiązanie dla purystów, ale działa całkiem dobrze i nie grozi uszkodzeniem bramek. Symulator jak każdy program robi to co programista uznał za słuszne, w ogólnym...

    Projektowanie Układów   21 Lip 2017 12:46 Odpowiedzi: 3    Wyświetleń: 1119
  • Pytanie o zasadę działania bramki AND, wg. schematu z Oślej Łączki

    Czy 1V na bazie nie wystarczy do otwarcia tranzystora? Czytałem, że otwiera się przy U baza-emiter ok 0,6V, a powyżej 0,8V już by się uszkodził. Dokładnie to ważne jest napięcie pomiędzy bazą a emiterem tranzystora. I rzeczywiście takie napięcie przy otwartym tranzystorze wynosić będzie ok. 0,7V. Tu była mowa o napięciu pomiędzy bazą tranzystora a...

    Początkujący Elektronicy   18 Mar 2020 07:46 Odpowiedzi: 6    Wyświetleń: 375
  • Jaki symulator linii telefonicznej wybrać do połączeń modemowych?

    Nie napisałeś o jakie modemy i jakie połączenie chodzi. Ale może, mała bramka/ruter VoIP z 2 liniami analogowymi? Jeżeli tylko inicjowanie połączenia to nawet z jedną linią wystarczy.

    Sieci Co Kupić   02 Cze 2020 20:00 Odpowiedzi: 7    Wyświetleń: 387
  • Konstrukcja układu wyświetlacza 7-segmentowego z bramek logicznych na 2 bity

    Witam. To jest projekt. Wyświetlacz ma być w symulatorze falstad. 2 bity Duża litera U o przypisany kodzie 00 Mała litera o kod 01 Mała litera b kod 10 Mała ć kod 11 Wiem że mam zrobić tabelę prawdy. Co dalej?

    Nauka Szkolnictwo   19 Lut 2021 19:40 Odpowiedzi: 8    Wyświetleń: 558
  • Budowanie układu logicznego na dwuwejściowych bramkach NAND

    Nie no to jest oczywiste... Z NAD możesz praktycznie zrobić wszystkie podstawowe bramki... Także OR, NOR EXOR. Jak by się uparł, to i przerzutnik RS JK D FF itp. Osobiście nie bawię się w rozrysowywanie takich zależności na bramkach, ponieważ robię to tablicą wartości, co nie oznacza że się nie da... To co narysowałeś też mogę wrzucić na symulator i...

    Nauka Elektroniki, Teoria i Laborki   13 Gru 2021 20:31 Odpowiedzi: 25    Wyświetleń: 855
  • Jak dodać drugą diodę, aby uzyskać naprzemienne działanie? Bramka not.

    A jak stwierdziłeś że #7 nie działa? Jakich użyłeś tranzystorów? co podałeś na wejście? P.S. Widziałem twoje pytanie o symulator układów analogowych/cyfrowych z mikrokontrolerami: Proteus

    Początkujący Elektronicy   28 Mar 2023 17:49 Odpowiedzi: 32    Wyświetleń: 705
  • Projektowanie układu transkodera z kodu dwójkowego na kod Graya na bramkach XOR

    Po co wyważać drzwi do lasu?! Przecież całe ćwiczenie i opracowania są w necie, chociażby na stronie I LO w Tarnowie, tu nawet łącznie z kodem źródłowym i symulatorem on-line. Lenistwo patentowane. [url=]Link

    Nauka Elektroniki, Teoria i Laborki   14 Wrz 2023 11:58 Odpowiedzi: 2    Wyświetleń: 189
  • Generator PWM sterowany napięciem na 74HC04/CD4049

    Witam! Dzisiaj postanowiłem z ciekawości sprawdzić, czy dałoby się skonstruować generator PWM sterowany napięciowo z użyciem bramek NOT. Po kilku różnie udanych próbach wymyśliłem coś takiego: Q2 stanowi źródło prądowe, które ładuje C1 i wytwarza w ten sposób rampę. Dioda D2 gwałtownie rozładowuje C1, gdy napięcie przekroczy odpowiednią wartość. Bramki...

    Początkujący Elektronicy   16 Sty 2017 16:26 Odpowiedzi: 0    Wyświetleń: 2025
  • Sterowanie dzwonkiem za pomocą sygnałów binarnych

    Proponuję projekt zrobić (wykonać) na bramkach logicznych; przedtem zrobić projekt na symulatorze bramek (Załącznik z wersją instalacyjną lub dowolnie inny); zamiast melodii można melodię przeplataną komunikatem z której bramy jest wezwanie. Na tym symulatorze można zrobić dowolny projekt.

    Domofony i kontrola dostępu   11 Lis 2022 14:10 Odpowiedzi: 11    Wyświetleń: 444
  • VCO w ukladzie Colpittsa

    Tak sobie siadłem i popatrzyłem na ten układ i się zastanawiam jak on ma w ogóle działać skoro nie ma w nim prawa być spełniony warunek fazy potrzebny do wzbudzenia drgań ? Dla fo układ LC + układ RC podający sygnał na bramkę daje przesunięcie -270 ° a wtórnik daje przesunięcie 0° brakuje 90° ... Może gdyby pomiędzy źródłem a punktem wspólnym C1 i C2...

    Początkujący Elektronicy   08 Cze 2007 19:25 Odpowiedzi: 45    Wyświetleń: 8213
  • C++ stworzenie prostego quizu

    Witam Mam takie pytanko..Potrzebowałbym gotowy algorytm, ewentualnie podpowiedzi , jak stworzyć w C++ coś w stylu quziu :) Mamy sobie listę np 20 pytań i po każdym odpaleniu programu pytania są dobierane losowo, a wyniki przedstawiane w procentach ... Wspomożecie, jak mógłbym to prosto zrobić ? To jest druga część programu na zaliczenie ... z drugą...

    Programowanie   12 Cze 2008 00:55 Odpowiedzi: 13    Wyświetleń: 3939
  • Formuł realizowane przez multiplekser, demultiplekser i dekoder

    A czy używacie na zajęciach jakiś program symulator bramek logicznych? Z tym prowadzącym nic takiego nie było, w sumie z nim to praktycznie nic nie było. Z innym przy okazji bramek logicznych mieliśmy DSCH3. Pomoc czyli rozwiązanie za Ciebie? Chcę pomocy, szukałem na prawdę wszędzie, czytałem sporo pdf'ów i nigdzie tego nie było. Nie moja wina, że...

    Nauka Elektroniki, Teoria i Laborki   09 Sie 2016 16:12 Odpowiedzi: 10    Wyświetleń: 2067
  • Czy automatyk może zdalnie pracować?

    Cześć. Zależy co rozumiesz jako praca zdalna. Jeżeli chodzi Ci o zatrudnienie na etat w firmie i praca tylko dla niej to jest to raczej niewykonalne. Każda firma chce mieć swojego pracownika zawsze pod ręką. Ja pracuję zdalnie, ale mam własną działalność gospodarczą. Piszę oprogramowanie dla kilku firm w zależności od zapotrzebowania. Maszyny tylko...

    Automatyka po godzinach   03 Sty 2019 19:34 Odpowiedzi: 10    Wyświetleń: 2091
  • Sterowanie przejazdem kolejowym sterownikiem PLC S7-1200, język LD

    Jaki program - zapomnij?! Zobacz na schemat logiczny SPA1 tam nic nie ma - dwa przerzutniki bistabilne i jeden monostabilny - czysta logika do zrealizowania na bramkach. W swojej makiecie potrzebujesz tylko w miejscu Twoich czujników A, C zastosować podwójne kontaktrony (na schemacie to IA i IIIA) w celu wykrycia kierunku. Sygnał W najazd do przejazdu,...

    Początkujący Elektronicy   12 Sty 2017 20:41 Odpowiedzi: 30    Wyświetleń: 4884
  • Rzadko zadawane pytania: Jak zbudować wierny makromodel przełącznika?

    Pytanie: Czy mogę ulepszyć model analogowego klucza w LTspice, gdyż mój projekt zawiera w sobie takie przełączniki i multipleksery? Odpowiedź: Pewnie; tworzenie własnych modeli do LTspice nie jest trudne. Wprowadzenie Podczas testowania obwodów elektronicznych często natknąć można się na istotne rozbieżności w stosunku do projektu i obliczeń na papierze....

    Artykuły   04 Kwi 2020 09:59 Odpowiedzi: 0    Wyświetleń: 1335
  • [EAGLE] Optymalizacja miejsca na PCB dla modułu z triakami w obudowie Kradex Z-50

    Poskładałem sobie fragment z bramką z twojego schematu w symulatorze. Na wejściu nr 2 bramki przy wyłączonym kluczu ULN2803 (rozwarty włącznik W1) masz "nieustalony" stan logiczny na wejściu i wyjściu bramki - szary kwadracik. Zwarcie włącznika W1 przy rozwartym włączniku W2 daje wysoki stan logiczny na wyjściu bramki. Natomiast zwarcie włącznika W2...

    Pomoc w PCB   20 Sty 2021 19:54 Odpowiedzi: 16    Wyświetleń: 960
  • Niezawodny przekaźnik elektroniczny w miejsce tradycyjnego

    Wartości elementów sensora możesz pozostawić tak jak na Twoim schemacie. Ja dałem trochę inne tak dla potrzeb mojego symulatora. Wstawianie przekaźnika między te układy (555 a 7474) jest zbyteczne. Zresztą jak zrozumiałem Twoim celem było to zrobić bez przekaźnika. Jest tu on w tym miejscu niepotrzebny. Co do tych bramek. To może najpierw opisz jak...

    Projektowanie Układów   16 Paź 2012 00:24 Odpowiedzi: 29    Wyświetleń: 11109
  • Sterowanie 4017+555 sterowanie bramy.

    Jakby się bramka w symulatorze paliła, to w miejscu gdzie jest czerwony X daj rezystor 10kΩ. Jak się będzie paliła dalej, to poszukaj opcji w stylu "Indestructive Components" i włącz. W końcu to tylko prosty symulator. ...no i steruje diodami minusem a nie plusem... I w czym problem? Transoptorom, które zamierzasz tym sterować, wszystko jedno,...

    Początkujący Elektronicy   13 Mar 2017 19:24 Odpowiedzi: 16    Wyświetleń: 1983
  • Jak ograniczyć potencjał węzła za pomocą diod Zenera i J-FET?

    Cześć wszystkim! Amatorsko zacząłem bawić się elektroniką i w układzie, który próbuję realizować napotkałem pewną przeszkodę, którą nie wiem za bardzo jak rozwiązać. Układ w dużym uproszczeniu można sprowadzić do czegoś pokazanego na schemacie: Napięcie na wejściu (tak 50-150V) chcę podzielić diodami zenera (konkretne wartości są teraz chyba nieistotne)...

    Początkujący Elektronicy   25 Mar 2021 17:18 Odpowiedzi: 107    Wyświetleń: 2895
  • Przedłużenie licencji TIA Portal za pomocą SIM EKB - bezpieczeństwo i skuteczność

    Cześć. Byłem kiedyś w takiej samej sytuacji co Ty. Siedziałem długo z APB z Telmatika i chciałem poszerzyć horyzonty. Na telmakikach stworzyłem kilkadziesiąt aplikacji w raz z SH-300 niektóre całkiem zaawansowane . (Naważania, proste pozycjonowanie napędów i wiele innych) Myślałem wtedy że dobrze znam już sterowniki ;) Poźniej coś tam czytałem, coś...

    Automatyka Sterowanie PLC   23 Lut 2024 20:48 Odpowiedzi: 10    Wyświetleń: 2085
  • Obwód sterujacy cewką indukcyjną za pomocą tranzystora IGBT

    Na pewno jest lepiej niż było. I teraz weź pod uwagę, że każda pasożytnicza pojemność czy indukcyjność zniekształca odczyt. I to tym bardziej, im częstotliwość rośnie. Wczoraj jeszcze symulowałem ten układ na LTspice, który jest dosyć dobrym symulatorem obwodów, i tam tej szpilki nie ma. Chociaż mimo wszystko to jednak tylko symulator. Ale dzięki niemu...

    Nauka Szkolnictwo   10 Lis 2023 12:08 Odpowiedzi: 19    Wyświetleń: 282
  • Projekt stabilizatora na P-MOSFET do oceny

    Witam, Potrzebuję stabilizator o regulowanym napięciu wyjściowym w przedziale 3÷5V i prądzie maksymalnym 400mA. Niestety problemem jest taki że układ zasilany będzie z źródła które daje 5.1V maksymalnie. Dlatego zdecydowałem się na zastosowanie mosfet'ów o małym R DS . Jak wiadomo, łatwiej dostać z kanałem N o małej rezystancji niż P, ale niestety potrzebuje...

    Początkujący Naprawy   08 Lis 2012 12:16 Odpowiedzi: 7    Wyświetleń: 3399
  • Przetwornica Step down pali IGBT

    Zmiękczaj kluczowanie do momentu, az na przebiegu bramki zacznie byc wyrazny 'miller plateau' przez istotną część cyklu. Wtedy masz juz 'trochę' za dużą rezystancję. Ale 'millera' tez nie ma co demonizować; i tak się go nie pozbędziesz, a za maly rezystor w bramce to dosłownie generator kłopotów ;] Jesli zastosujesz uzwojenie dzielone, potrzebujesz...

    Układy Zasilające   20 Wrz 2014 11:58 Odpowiedzi: 44    Wyświetleń: 6966
  • Logo 8 jak sterować wyjściem analogowym przez wejście cyfrowe

    Zrobione dla 0BA7...sprawdzone tylko w symulatorze. Moim zdaniem w tym programie jest błąd, wejście Dir licznika nie powinno być zanegowane, reszta jak najbardziej poprawna, można jeszcze zrezygnować z bramki B006 a zanegować wejścia 2-4 bramki B004.

    Początkujący Elektronicy   06 Cze 2017 21:51 Odpowiedzi: 9    Wyświetleń: 909
  • Demokratyzacja procesu projektowania układów

    Niema takiego symulatora który był by zgodny z rzeczywistością na 99%, nie ważne ile zasobów na to przydzielisz, wiele symulacja w większości jest funkcyjna (idealna) na poziomie RTL, nawet symulacja na poziomie netlisty składającej się z tranzystorów w jakimś programie spice ze wspomaganiem to jest jakieś średnio 40% zgodne z rzeczywistością, bo im...

    Artykuły   02 Lut 2022 19:04 Odpowiedzi: 22    Wyświetleń: 1410
  • Układ miękkiego startu PWM - taki trochę inny

    Dwie wiertarki, szlifierka i pilarka. To moje hobby. I aż żal czasem ściska jak trzesczą tryby... i bolą ręce... We wszystkich podobnych urządzeniach w momencie włączenia panują bardzo duże przeciążenia na elementach przekładni, nie mówiąc już o tym, że czasem o mało nie wypadną z rąk... Dlatego wpadłem na pomysł zbudowania układu miękkiego startu,...

    Projektowanie Układów   05 Gru 2009 00:40 Odpowiedzi: 0    Wyświetleń: 4575
  • Sprawdzenie poprawności schematu

    Bramki działają poprawnie, sprawdziłem w symulatorze. Reszta wydaje się być poprawna ale pewności nie mam. Pozdrawiam.

    Początkujący Naprawy   04 Maj 2010 15:39 Odpowiedzi: 6    Wyświetleń: 2053
  • Sterownik dla laika - wentylacja i ogrzewanie

    Zobacz co telmatik ma w ofercie - mam na myśli sterowniki serii sr. Programuje się ję przez łączenie bramek logicznych i podobnych na schemacie. Soft do pobrania za darmo ze strony producenta i ma symulator. Na stronie znajdziesz także czujniki i inne.

    Smart Home IoT   27 Kwi 2015 09:54 Odpowiedzi: 3    Wyświetleń: 1554
  • Układ nie działa mimo poprawnego wgrania programu do Atmegi8

    Z ciekawości ściągnąłem LDmicro, ale jakoś język drabinkowy do mnie nie trafia. Jak robiłem na PLC to szukałem modelu, w którym można także rysować schemat używając bramek. Nie pamiętam co to był za sterownik ale miał także symulator. Za nim go kupiłem mogłem pobawić się i sprawdzić czy spełnia moje oczekiwania. Dużo większe możliwości i niższą cenę...

    Mikrokontrolery Początkujący   07 Paź 2017 08:59 Odpowiedzi: 12    Wyświetleń: 1182
  • Symulacja sterowania unipolarnym silnikiem krokowym CMOS na przycisk

    To zacznij od narysowania schematu na kartce papieru, a potem dopiero myśl o symulatorze. Pokaż narysowany schemat, wtedy postaramy się pomóc. Ostatni dla kogoś robiłem projekt na bramkach logicznych i całość była symulowana przy pomocy "Multimedia Logic"

    Mikrokontrolery Początkujący   01 Maj 2020 17:02 Odpowiedzi: 18    Wyświetleń: 963
  • Projekt licznika modulo 73 na licznikach typu 74163

    Witam Mam do zaprojektowania licznik liczący modulo 73 na licznikach typu 74163. Wykonałem wstępny projekt w Quartusie ale nie liczy on poprawnie. Nie wiem czy wszystko dobrze podłączyłem. Proszę o pomoc. Nie wiem też jak wykonać reset na takim liczniku. Wykrywam sekwencje 1001001 i bramką nand podłączam do reset (clrn), ale licznik się nie resetuje....

    Projektowanie Układów   07 Gru 2020 20:10 Odpowiedzi: 1    Wyświetleń: 624
  • Odtwarzacz plików *.mp3 dla babci.

    Dlaczego to dolny nie złapie najpierw dwóch jedynek i nie wystawi zera? Ależ czasem wystawi. Układ jest symetryczny, bramki wewnątrz także. Nie można więc oszacować stanu na wyjściach po załączeniu napięcia. Chcąc pokazać układ przełączający po każdym naciśnięciu przycisku pominąłem obwód wstępnego ustawiania przerzutnika. Gdyby dolną bramkę przerzutnika...

    DIY Akustyka   11 Paź 2021 19:28 Odpowiedzi: 27    Wyświetleń: 5793
  • Prostownik wartosci szczytowej

    Witam, po zmianie tego IRF'a na j-feta zniknął problem rozładowania kondensatora (tez nie wiec co to był za mosfet IRF024 - taki jest w bibliotece Micro_Cap -pewnie chodziło o IRFR024). (at)cavendish - no układ jest sprytny i działa bardzo dobrze Ale to tylko w symulatorze... :!: :D ... znacznie ciekawsze byłyby tu Twoje spostrzeżenia z badania praktycznego...

    Początkujący Elektronicy   15 Wrz 2008 16:44 Odpowiedzi: 17    Wyświetleń: 10490
  • Sygnalizator świetlny 230V -problemy w schemacie?

    Myśle że tym układzie lampa nie świeci póki kondensator się nie naładuje do progu napięcia bramki, a potem świeci póki bramka z niego nie wybierze. Więc na moje oko powinno działać. Ale może wiem za mało i jeszcze jest cos innego. Jak piszę głupoty to mnie poprawcie 4 godziny to kleiliśmy i sprawdzaliśmy a tu kupa. już nie mogłem na to patrzeć. Zeby...

    Początkujący Elektronicy   17 Paź 2009 16:22 Odpowiedzi: 18    Wyświetleń: 8399
  • wzmacniacz na N-MOSFETach

    Jak miło nie można było tak od razu atmosfera robi się prawie rodzinna... Dzięki!!! spróbuję obniżyć napięcie na bramce przy spoczynku do ok. 3,5V i przygotuję gaśnicę :wink: naturę mam jednak dość upartą i spróbuję jednak odpalić (w przenośni: uruchomić 8) )tego wzmaka nara P.S. o symulatoromanie mnie nie posądzaj bo mój je..ny symulator nie chce wogóle...

    Audio Serwis   08 Sie 2004 23:39 Odpowiedzi: 11    Wyświetleń: 1653
  • Jak sekwencyjnie przełączać oświetlenie jednym włącznikiem?

    Jaki symulator... trudne pytanie... Powiem tak: Do rozważań akademickich i bardziej skomplikowanych (dynamika maszyn elektrycznych, stany nieustalone w obwodach RLC) polecałbym jak najbardziej PSpice - bo jest na prawdę dobry... A do rozważań prostych - typu żarówka, czy licznik i jakies LED-y, kilka rezystorków - programik Crocodile Clips, a to dlatego,...

    Projektowanie Układów   25 Paź 2008 13:23 Odpowiedzi: 36    Wyświetleń: 8382
  • załączanie tyrystorów - symulacja

    Nie znam tych symulatorów. Z tego co widzę impuls na bramkę tyrystora T1 to obwód od A do C. Dla tyrystora T2 powinien być od B do D, tylko D nie jest z niczym połączony.

    Elektro Początkujący   17 Paź 2010 20:17 Odpowiedzi: 7    Wyświetleń: 5987
  • 74193 - licznik 8 bitowy (naprzemiennie góra dół)

    Witam. Robię sprawozdanie na laborkę z TC i nie przyszłoby mi do głowy posiłkować się elektrodą, gdyby nie to, że pracuję z Ubuntu Live CD i nie mam sensownej możliwości pobawić się symulatorem. Zadanie polega na stworzeniu licznika 8 bitowego w cyklu {00, 01, ..., 7F, 80, 7F, ..., 01, 00} Do dyspozycji mam 2 4bitowe liczniki dwukierunkowe 74193 plus...

    Projektowanie Układów   05 Maj 2013 23:13 Odpowiedzi: 1    Wyświetleń: 2610
  • Przerzutnik typu T prawidłowy schemat

    Co do opóźnienia, ew. błędów symulacji nie odpowiem tak jak odnośnie najprostszego możliwego rozwiązania w realu (bo sam jego szukałem trafiając tu) ale .. wiem jak w kilku prostych krokach osiągnąć to na ów symulatorze. Jednym prostym połączeniem przerabiając schemat gotowego przerzutnika D Jego schemat na bramkach jest podany w przykładach ów symulatora...

    Nauka Elektroniki, Teoria i Laborki   26 Wrz 2021 13:35 Odpowiedzi: 1    Wyświetleń: 5850
  • generator zadanej liczby impulsów 1 : 255

    Znalazłem czas i w Orcad 9.1 Student zbudowałem taki układ. Liczbę impulsów do której zliczają liczniki 7493 ustala się łącząc odpowiednie wyjścia Q do wejścia bramki 7430.Na schemacie jest ustawione 110111 co odpowiada 55 dziesiętnie. Po wystąpieniu tej kombinacji,na wyjściu Y 7430 wystąpi 0 logiczne.Przez bramki U15,U16 zostanie podane 0 na wejście...

    Początkujący Elektronicy   26 Sty 2006 00:37 Odpowiedzi: 20    Wyświetleń: 4466
  • Jak to się dzieje, że przerzutnik pamięta?

    Najprostsza odpowiedź, to taka, że przerzutnik zażywa regularnie Bilobil ... :)) Jak impuls synchronizujący nie nadejdzie to układ będzie trwał w stanie stabilnym do wyłączenia zasilania albo przyjścia zakłócenia .. Wznosząc się jednak ponad to trywialne rozwiązanie to: - w przerzutniku dwutranzystorowym mozliwe są dwa stany albo jeden albo drugi tranzystor...

    Początkujący Elektronicy   20 Kwi 2007 14:42 Odpowiedzi: 4    Wyświetleń: 1238
  • Jak usunąć "ogonki" z "6" i "9"

    Witam. Myślałem że uda mi się zrobić też układ na bramkach ale bardziej zoptymalizowany. No ale jednak wyszedł układ podobny do Twojego. Można jeszcze próbować coś tak jak podpowiada yego666 albo wstawić jakiś EPROM lub inną pamięć. a tak to wyglądało w symulatorze: Bramek 3-wejściowych nie było w tym symulatorze to zastępczo zrobiłem to na 2-wejściowych....

    Projektowanie Układów   18 Sie 2008 13:58 Odpowiedzi: 18    Wyświetleń: 6456
  • Isostat - jak zrobic taki przycisk?

    jak dlamnie na oko to ty bardziej kombinujesz niż koń pod góre :D pomyśl troche logicznie...na co ci ten symulator(więcej czasu spędzisz przed nim niż cuś dojdziesz co i jak doczego)szybciej bedzie jak dowiesz sie troche o układzikach(przerzudnikach bramkach,licznikach itp.)chodzi o to byś wiedział na jakiej zasadzie działają,jakie są ich stany logiczne...

    Początkujący Elektronicy   11 Gru 2008 13:45 Odpowiedzi: 23    Wyświetleń: 4039
  • Prosta konstrukcja z fototranzystorem

    Ten uklad nie bedzie w ogole funkcjonowal z dwoch prostych powodow: 1. Dioda LED bez opornika szeregowego, ograniczajacego jej prad, ograniczy napiecie wyjsciowe U1A w stanie logicznym HIGH do wartosci napiecia przewodzenia LED (rzedu 1,5V do ok. 3,5V, zaleznie od koloru). Jest to napiecie zbyt niskie, aby wejscie U1B rozpoznalo ten stan jako HIGH,...

    Początkujący Naprawy   26 Wrz 2010 12:01 Odpowiedzi: 4    Wyświetleń: 4154
  • Sterowanie P - mosfet nie działa jak powinien

    częstotliwość jest niska max 40Hz , na razie sprawdzam na kilku Hz obciążam tylko szeregowo 39R + 10uF jak pisałem na początku . Przy 150k na bramce nie ma żadnej reakcji (oscyloskopem) , opadanie też mierzę oscyloskopem przy powyższym obciążeniu, wymienię jeszcze tranzystor na inny egzemplarz , może jest jakiś przypalony ?? trochę już przeżył zwarć...

    Początkujący Naprawy   27 Maj 2012 14:57 Odpowiedzi: 18    Wyświetleń: 6043
  • Sterowanie telefonem poprzez przekaźniki.

    Przykładowy schemat masz poniżej choć jego koncepcja uległa drobnym zmianom. Bramka U2A wraz z elementami C1 i RV1 tworzy generator. Od wartości elementów C1 i RV1 zależy jego częstotliwość. Bramka U4A pełni rolę klucza czyli przepuszcza sygnał z generatora dalej w zależności od stanu na pinie nr 2. Na schemacie jest bramka 4011, bo symulator nie radził...

    Początkujący Naprawy   05 Wrz 2012 11:41 Odpowiedzi: 5    Wyświetleń: 2085
  • Realizacja trzech projektów układów synchronicznych.

    Witam, Mam do zrealizowania 3 projekty układów synchronicznych. Jednym z nich jest licznik dziesiętny w przód, z którym nie miałem żadnych problemów. Drugim z nich jest układ wykrywający sekwencję 1011. Z tym też nie było większych problemów, ale przy rysowaniu schematu ideowego w układzie potrzebuję dwóch jedno wejściowych bramek NAND, których wyjścia...

    Projektowanie Układów   06 Paź 2014 15:46 Odpowiedzi: 1    Wyświetleń: 1230
  • Izolowany galwanicznie interfejs jednokierunkowy open-drain 10 Mb/s

    Uwaga! Przedstawione przez autora urządzenie nie spełnia wymogów standardu I²C (IIC) co zostało wyjaśnione w dalszej części dyskusji w szczególności od tego postu: Dlatego też decyzją grona moderatorów zmieniono tytuł na "Izolowany galwanicznie interfejs jednokierunkowy open-drain 10 Mb/s o teoretycznym zasięgu 1200 m" Izolowany galwanicznie interfejs...

    DIY Konstrukcje   30 Sie 2017 09:55 Odpowiedzi: 92    Wyświetleń: 14499
  • Telewizyjno- telefoniczny automat do łączenia prywatnych rozmów towarzyskich.

    Wstęp/założenia; czyli jakie były przyczyny powstania danej konstrukcji i do czego ma służyć. Historyjka powstania konstrukcji poprzednich wersji: Przed rokiem 1989 lub wcześniej, gdy już pracowałem na centrali telefonicznej (PENTACONTA) na osiedlu Dąbrowa B- nastąpiło pewne uszkodzenie. Uszkodzenie to miało miejsce w takim okrągłym walcu, na którym...

    DIY Poczekalnia   15 Mar 2023 08:52 Odpowiedzi: 42    Wyświetleń: 21213
  • FPGA/CPLD Rozbieżność między symulacją a pracującym układem

    A mnie się zdawało że wewnątrz procesu wszystko wykonuje się sekwencyjnie, a nie w jakiś kolejkach w ten sposob symulator nasladuje dzialanie rzeczywistej logiki, a konkretnie przerzutnika jesli sygnaly x i y maja poczatkowo wartosc '0' to kod jak ponizej w hardware wykona sie: a to nie jest tak że tylko działania na zmiennych działają sekwencyjnie,...

    Programowalne układy logiczne   23 Mar 2020 16:26 Odpowiedzi: 18    Wyświetleń: 1005
  • Czujniki fotoelektryczne w praktyce - rozpoznawanie kierunku ruchuSponsorowany

    W różnorodnych zastosowaniach automatyki przemysłowej najczęściej są używane dwa rodzaje czujników: fotoelektryczne oraz indukcyjne (zbliżeniowe). Oba mają swoje wady i zalety, które determinują ich zastosowanie. I tak, dla przykładu, jeśli czujnik indukcyjny może wykryć obiekty metaliczne schowane za nieprzezroczystą, niemetaliczną przeszkodą, to...

    Artykuły   30 Kwi 2020 11:36 Odpowiedzi: 0    Wyświetleń: 2619
  • Konwersja zmiany stanu logicznego na krótki impuls.

    Witam wszystkich. Aż żal bierze, że człowiek nie wpadł na tak banalne rozwiązanie. Całkiem zapomniałem o bramkach exclusive. Chyba temat można zamknąć... z teoretycznego punktu widzenia (w symulatorze) wszystko działa dokładnie tak jak potrzebuje na 4077. W symulatorze z elementami nieidealnymi także opóźnienie osiągnięte dzięki łączeniu 3 pozostałych...

    Początkujący Elektronicy   16 Sty 2023 11:31 Odpowiedzi: 15    Wyświetleń: 321