synteza automatu

Znaleziono około 73 wyników dla: synteza automatu
  • Automat Moore'a - weryfikacja syntezy i porównanie z automatem Mealy'ego

    Automat Mealy'ego ma inne grafy, trochę inaczej się robiło. Polecam przeczytać wiki: http://pl.wikipedia.org/wiki/Automat_Moo... http://pl.wikipedia.org/wiki/Automat_Mea...

    Automatyka Przemysłowa   11 Sty 2009 13:31 Odpowiedzi: 5    Wyświetleń: 4344
  • Automaty Moorea, Automaty Mealygo, Synteza właściwa

    sprawdź: http://www.iie.uz.zgora.pl/pomoce.php

    Początkujący Elektronicy   28 Mar 2007 15:50 Odpowiedzi: 1    Wyświetleń: 3085
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Jak wypisać funkcje z tablic Karnaugha dla przerzutników JK i innych?

    Należałoby uściślić nieco, skoro traktujemy go jako automat i powiedzieć, że ma 14 stanów wyjść albo np. zawartości : 0..13. Przy zawartości 14 następuje reset, czyli 13 jeszcze ma być. Stanów wewnętrznych jako automat być może ma mniej, nie zastanawiałem się. Dodano po 50 Tak sobie teraz skojarzyłem, że choć jest to licznik synchroniczny, to jego automat...

    Początkujący Elektronicy   19 Mar 2005 21:32 Odpowiedzi: 17    Wyświetleń: 4434
  • REKLAMA
  • Materiały do nauki syntezy automatów na AGH - gdzie szukać?

    Czesc mam zajecia na AGH i przerabiam synteze automatow czy nie wiecie czy znajde cos w sieci na ten temat, bardzo mi na tym zalezy, jutro mam kolosa a ni w zab tego nie kapuje. Sory nie pomyslalem

    Początkujący Elektronicy   27 Paź 2003 07:39 Odpowiedzi: 2    Wyświetleń: 1083
  • Jak tworzyć siatkę z wykresu czasowego w syntezie automatu Moore'a?

    Witam Czy ktoś prostymi słowami jest w stanie mi wyjaśnić na jakiej podstawie z wykresu czasowego jest stworzona siatka obok? Rozumiem, że np. dla stanu (1) sygnały x1, x2 mają stan niski (patrząc na wykres i na siatkę jest to logiczne) i odpowiada im stan 0 wyjścia, ale równie dobrze stanowi (1) odpowiada reszta wiersz, dla których stan wyjścia Z jest...

    Nauka Szkolnictwo   26 Gru 2016 16:34 Odpowiedzi: 2    Wyświetleń: 1308
  • REKLAMA
  • Jak przeprowadzić syntezę strukturalną automatu Mealy'ego?

    Różnica pojawia się w minimalizacji tablicy przejść-wyjść dla stanów zgodnych - tu (dla Mealy-ego) można skleić ze sobą stany o sprzecznych wyjściach No i po tym trzeba zakodować tablicę ( eliminacja wyścigów krytycznych ew. zupełna eliminacja wyścigów przez przejścia cykliczne) na koniec trzeba wybrać rodzaj przerzutników, napisać funkcję ich wzbudzeń...

    Początkujący Elektronicy   11 Mar 2007 21:42 Odpowiedzi: 3    Wyświetleń: 4784
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    Absolutnie miałem jak najlepsze zamiary. Po prostu, jeśli musisz to wszystko umieć, to musisz bardzo się zagłębić w całą teorię układów logicznych... :| Tamtam mam wrażenie zahacza o syntezę automatów synchronicznych, a to jest kawał materiału nawet dla mających niezłe podstawy... :cry: A na pocieszenie - synteza automatów asynchronicznych jest znacznie...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 12635
  • Praca z kodów cyfrowych. Projekt kodera i układu sekwencyjnego.

    Ad.2) Tu chodzi chyba o syntezę automatu asynchronicznego?

    Początkujący Elektronicy   22 Lut 2005 08:01 Odpowiedzi: 19    Wyświetleń: 2617
  • Jak zrealizować projekt sekwencyjny dla 2-piętrowej windy?

    Synteza automatów asynchronicznych - cały semestr wykładów, ćwiczeń i laboratoriów na Wydziale Automatyki PW. Zmień szkołę.

    Początkujący Elektronicy   17 Sie 2005 14:45 Odpowiedzi: 7    Wyświetleń: 2738
  • Wybór tematu pracy przejściowej - który temat jest najciekawszy i dostępny?

    mam pisac prace przejsciowa z ktoregos tematu zamieszczonego ponizej i problem w tym ze przez 3 lata studiow mnie w zasadzie niczego na ten temat nie nauczyli a sam jakos nie bardzo sie tym interesowalem i teraz mam problem co z tego wybrac co jest ciekawe i odnosnie czego bedzie mi w miare latwo znalezc jakies materaily. pomozcie co byscie wy z tego...

    Automatyka Przemysłowa   13 Paź 2005 15:51 Odpowiedzi: 3    Wyświetleń: 2681
  • Jak zminimalizować funkcję dla przerzutnika D z tabeli Karnaugha?

    Witam. Mam następującą prośbę, niech ktoś zminimalizuję mi funkcę poniższych tabel dla przerzutnika typu D. Wg mnie dziwnie to wygląda, może się mylę... To fragment syntezy automatu synchronicznego? Co to za funkcja?

    Początkujący Elektronicy   27 Maj 2005 16:33 Odpowiedzi: 30    Wyświetleń: 3317
  • Projekt cyfrowego układu sekwencyjnego do sterowania pompami w zbiorniku z wodą

    Nie dotarło? Czwarte podejście, wrrr... ;) "Synteza sekwencyjnych automatów asynchronicznych"

    Początkujący Elektronicy   10 Lut 2009 12:27 Odpowiedzi: 26    Wyświetleń: 4273
  • REKLAMA
  • [Początkujący] Proszę o pomoc w rozwiązaniu zadania.

    To pierwsze zadanie z wykresem jest kompletne? Zapoznaj się z syntezą układów kombinacyjnych np. metodą siatek Karnaugh'a. Automat sekwencyjny możesz zrobić instrukcją CASE ... OF w ST lub na cewkach/ przerzutnikach RS w LD.

    Automatyka Sterowanie PLC   15 Wrz 2015 19:30 Odpowiedzi: 3    Wyświetleń: 906
  • Synteza automatów sekwencyjnych metodą Huffmana - przejście od tabeli do schematu

    Witam! Potrzebuję informacji na temat syntesy automatów sekwencyjnych metodą Huffmana. Jeśli jest ktoś kto sie na tym zna to proszę o pomoc. Dokładniej to chodzi mi o to jak przejść od tabeli programu do schematu.

    Początkujący Elektronicy   27 Kwi 2005 11:58 Odpowiedzi: 0    Wyświetleń: 753
  • Oprogramowanie do syntezy automatów pod Windows XP - alternatywy dla SIS, DEMAIN, ESPRESSO

    Poszukuję oprogramowania do syntezy automatów. Szukałem na forum i jedyne co znalazłem do program SIS. Wiem że istnieją jeszcze DEMAIN i ESPRESSO. Czy ktoś zna może jakieś inne programy w których można by dokonać minimalizacji stanów automatu, kodowania stanów i syntezy logicznej? Zależy mi szczególnie na programach działąjących pod XP .

    Projektowanie PCB   16 Maj 2007 20:23 Odpowiedzi: 0    Wyświetleń: 756
  • Dlaczego program nie działa poprawnie? Komunikacja komputera z uC.

    Nie ma za co. Poczytaj/poszukaj sobie materiałów o syntezie układów sekwencyjnych na automatach moore'a, mealy'ego.

    Arduino   25 Lut 2019 20:03 Odpowiedzi: 31    Wyświetleń: 1677
  • Egzamin Zawodowy Technik Elektronik 2012 - Przewidywania Tematów

    Ja bym stawiał (a raczej mam takie życzenie) aby dali tak jak makaronmc mówi wzmacniacz napięcia. Nie obrażę się jak będzie coś z cyfrówki. Nie wiem czemu się tak wszyscy tego boją. Na egzaminie nie dadzą wam przecież minimalizacji funkcji dla 7 zmiennych albo syntezy automatów. Jak dadzą coś z cyfrówki to to będzie na takiej zasadzie jak w książce...

    Nauka Szkolnictwo   18 Lis 2012 20:21 Odpowiedzi: 507    Wyświetleń: 78955
  • bmw reverse rds -świecą się wszystkie znaki na wyświetlaczu

    Witam Usterka nie wyszukiwania stacji na automacie spowodowana jest niedopasowaniem zawartosci eepromu, musisz poszukac innego wsadu lub pobawic sie zawartoscia eepromu a znajdziesz komorke w ktorej zawarta jest wartosc syntezy i radio bedzie poprawnie stroic. pozdrawiam

    Radia i Systemy Multim. Samochodowe Serwis   27 Sty 2006 20:01 Odpowiedzi: 18    Wyświetleń: 2262
  • Nadajnik Szaflika z syntezą PLL [MaX 8W]

    Na prośbę mpx-fm umieszczam soft dla ADF4xxx. Jeden to soft sterujący a kolejny to soft do wyliczania filtru LP i innych parametrów łącznie z generacją schematu podłączenia (automat).

    DIY Poczekalnia   10 Lis 2016 01:10 Odpowiedzi: 790    Wyświetleń: 207812
  • Denon DRA 385 RD - nie działa tuner, podejrzany układ PLL LM7001

    Rozwiń temat "nie działa tuner". Wyświetlacz działa? Częstotliwość na wyświetlaczu da się zmieniać +/- Automatyczne wyszukiwanie da się załączyć, aby przemiatało (przeszukiwało) pasmo od początku do końca? Oba pasma milczą? Fale średnie i UKF? Z PLL jest trochę inaczej niż opisałeś. Na wyjściu PD przeważnie jest taka sieczka, dla różnych typów syntez...

    Audio Serwis   18 Lis 2024 06:41 Odpowiedzi: 8    Wyświetleń: 297
  • Rozwiązanie zadania z techniki cyfrowej - analiza schematu logicznego

    Wg mnie to jest tablica przejść automatu. Możesz sobie narysować graf przejść i potem przeprowadzić normalną syntezę. X1, X2 to wejścia, Z1,Z2 to wyjścia To wskazówki na początek bo nie wiem czego nie umiesz, najlepiej napisz z czym dokładnie masz problem ;)

    Początkujący Elektronicy   12 Lut 2007 10:26 Odpowiedzi: 6    Wyświetleń: 1695
  • Midland 77-114 - Jak ustawić domyślny kanał 19 zamiast 9?

    Ma on przecież szybką 19. Jeśli chcesz z "automatu" to dorób mechaniczny/elektroniczny przekaźnik który przy włączaniu radia zewrze na chwilę 14 i 11 nogę syntezy.

    CB Radio   21 Kwi 2010 13:12 Odpowiedzi: 2    Wyświetleń: 1560
  • czy stosuje się komputerową syntezę dźwięku do tworzenia słuchowisk?

    Czy to ma brzmienie naturalnej mowy, czy coś w tym ma sztuczne brzmienie? W pojazdach komunikacji miejskiej w Warszawie są komunikaty z automatu, ale wyraźnie słychać w nich sztuczność wymowy. Podejrzewam, że z czytania przez lektora wycięto słowa i automat je składa z zapisanych tekstów - a lektor nie każde słowo wymawiał z taką samą barwą dźwięku,...

    Ogólny techniczny   06 Gru 2024 09:32 Odpowiedzi: 6    Wyświetleń: 255
  • Amplituner Denon DRA-350 - słaby sygnał FM oraz brak stereo

    Jeżeli układ p.cz. jest wykonany na układzie HA12412 to wstępnie wygląda, że będzie trzeba delikatnie skorygować rdzeń w cewce T601. To oczywiście przy założeniu, że układ syntezy nie złapał jakiegoś zeza (np. odpłynął kwarc taktujący syntezę) i sam w sobie heterodynę trzyma zgodnie z oczekiwaniami na właściwej częstotliwości. Wtedy na szybko wygląda,...

    Audio Początkujący   30 Mar 2022 19:40 Odpowiedzi: 5    Wyświetleń: 1260
  • [VHDL] VHDL: Jak efektywnie zakodować 77250 stanów automatu w Quartusie 9.1?

    Tak czy inaczej sądzę, że będziesz musiał jakoś "ręcznie" podzielić ten automat na równolegle działające mniejsze - tak, aby było to do strawienia przez narzędzia do syntezy. Mam nadzieję, że przejrzałeś już literaturę - wykrywanie stringów/regexpów w FPGA to dość długo wałkowany temat (analiza pakietów sieciowych), a dopasowywanie tekstu do zbioru...

    Programowalne układy logiczne   22 Cze 2010 17:20 Odpowiedzi: 15    Wyświetleń: 2835
  • THOMSON 28DF 172 - brak odbioru stacji, widoczny tylko śnieg na ekranie

    Tunery ctf5510a, ctf5560 / CTF5511 (5510)/CTT5000T to są z synteza czestotliwosci sterowane po szynie I2c - na strojeniu ręcznym / i automacie takze biały szum? p.s Jesli procek z serii TDA9554PS/N3(lub 1)/3I...... to zawsze były winne kondensatory przy pin.17(CV006) ! oraz pin.16 procka *gałąz ARCz. http://obrazki.elektroda.net/47_12108713...

    TV Początkujący   15 Maj 2008 18:15 Odpowiedzi: 4    Wyświetleń: 2533
  • Budowa syntezatora analogowego modularnego – od czego zacząć, co powinien mieć?

    Czyli rozumiem chcesz zbudować coś na modłę automatu perkusujnego czy sekwencera analogowego czyli urządzenie generujące cyklicznie dziwne brzmienia nie do końca osadzone w gamie? A co z MIDI czy to ma być zsynchronizowane czy nie? Nie wiem czy twoja Yamacha ma MIDI czy nie. Cytat:" Generator Szumu - Biały, Rózowy, nie wiem co mozna tutaj wymyslic jeszcze"...

    Projektowanie Układów   31 Sie 2009 01:06 Odpowiedzi: 61    Wyświetleń: 27578
  • Program do konwersji kodu VHDL na graf przejść - poszukiwania narzędzia

    sesil Haha, też bym nie umiał ;) Graf przejść opisuje sekwencyjne zachowanie układu w zależności od stanu wejść (wejścia niekoniecznie muszą być, ale zazwyczaj są). Graf jest układem z pamięcią i żeby miał sens, musi się składać z co najmniej dwóch przerzutników. Tymczasem bramka to element kombinacyjny bez pamięci - zawsze zachowuje się tak samo. C2G...

    Programowalne układy logiczne   28 Lut 2007 13:57 Odpowiedzi: 4    Wyświetleń: 2109
  • Tuner Sony St-s261 nie odbiera stacji - sprawdzenie napięć, przelutowanie głowicy, LC72130, LA1851N

    Napięcie strojenia czyli linii warikapowej mierz tutaj https://obrazki.elektroda.pl/9318066800_... Bo już jest odfiltrowane ze śmieci pompy syntezy. Czyli odszukaj odpowiadającemu temu miejscu jakiejś "szpilki", kołka pomiarowego, ścieżki w głowicy gdzie ten sygnał realnie masz dostępny. Bez realnego schematu bloku twojego tunera pozostaje...

    Audio Początkujący   20 Lis 2022 15:47 Odpowiedzi: 18    Wyświetleń: 1344
  • Maszyna stanów VHDL – ostrzeżenie XST:2117, one-hot encoding, inicjalizacja FSM

    jak słusznie zostało zauważone większość narzędzi do syntezy zamienia FSM na 'one hot', przy czym pierwszy stan nie koniecznie odpowiada wartości "0001", drugi "0010", itd. Stąd płynie dalszy mój wniosek, że dzieje się tak ze względu na proces optymalizacji, a jeśli samemu dobieramy jak poustawiać stany to sami też musielibyśmy to optymalizować. Oczywiście...

    Programowalne układy logiczne   11 Sty 2008 09:11 Odpowiedzi: 6    Wyświetleń: 2046
  • Czy zmiana kolejności instrukcji sekwencyjnych w automacie SRAM pomoże?

    Witam, Czego uzywasz do symulacji? Ja ostanio w ActiveHDL mialem podobny blad. (2 tygodnie szukania w plecy!). entity Main_comp port ( CLK : std_logic ....... ) architecture signal CLKSignal : std_logic; comp u1 port ( CLK : std_logic ....... ) comp u2 port ( CLK : std_logic ....... ) beging comp u1 port map (...

    Programowalne układy logiczne   10 Gru 2007 15:23 Odpowiedzi: 13    Wyświetleń: 1993
  • Uzywanie zmiennych i malejaca czestotliwosc maksymalna

    jak chcesz potrzymać automat przez jakiś czas to robisz tak: w automacie: when bleble => counter_rst <= '1'; next_state <= count; when count => counter_rst <= '0'; if count_stop = '1' then next_state <= dalej; else next_state <= count; end if; when dalej => ... jako licznik dajesz: if rising_edge(clk) then if counter_rst...

    Programowalne układy logiczne   26 Paź 2007 18:39 Odpowiedzi: 30    Wyświetleń: 3438
  • Tuner Nikko Gamma 30 - nie stroi FM, zawiesza się w trybie auto, szum w mono

    Przekaz zakręcony, że niewiele z niego wynika. Tradycyjne w amoku naprawa zaczęta od najmniej awaryjnej części jaką jest LA1235. To ostatnia część jaką bym wymieniał. Co znaczy zawieszenie w trybie auto? Zamrożenie przelatujących cyfr na wyświetlaczu częstotliwości i brak reakcji na jakikolwiek przycisk? Zwiecha następuje już tylko po jednym, pierwszym...

    Audio Serwis   20 Mar 2025 22:11 Odpowiedzi: 6    Wyświetleń: 168
  • Mini wieża TEVION MD9006 - brak odbioru UKF, szukam schematu i przyczyn

    Jeżeli jesteś laikiem w tych sprawach to sobie nie poradzisz. Uszkodzona może byc głowica UKF, Brak napięć, synteza, lub tor sygnałowy. Głowice możesz podłozyc. Napięcia należy sprawdzić. Zasilające, napięcie warikapowe musi sie zmieniać wraz z przeszukiwaniem w automacie, napięcie AGC śreodnio jest połową napięcia zasilającego. Tor sygnałowy możesz...

    Audio Serwis   27 Maj 2004 11:22 Odpowiedzi: 6    Wyświetleń: 2367
  • Jak rozrysować grafy automatów Moore'a i Mealy'ego? Szukam wyjaśnienia.

    Witam, Witam, poszukuję osoby, która jest w stanie wyjaśnić mi w jaki sposób rozrysowuje się grafy na przykładzie automatu Moore'a i Mealy'ego. Posiadam książkę "Podstawy Elektroniki Cyfrowej - Józef Kalisz" i mimo, iż jest tam bardzo dużo o w/w automatach nie ma - przynajmniej ja tego nie znalazłem - wyjaśnionego jak tworzyć grafy. Chcę się tego nauczyć...

    Początkujący Elektronicy   31 Sty 2013 23:09 Odpowiedzi: 2    Wyświetleń: 2370
  • Zostań instalatorem smart home FIBARO i rozwijaj swój biznesSponsorowany

    .... Miałem raczej na myśli że trzeba to powiedzieć coś głośno (normalnie) a nie szeptem. Byłem mocno zdziwiony (jestem nadal zdziwiony) jak po uruchomieniu zapłonu w samochodzie z automatu odpala mnie się radio a ja mimo "dźwięków z radia" bez problemów mówię do "mapy googla" gdzie chcę jechać. Kiedyś trochę się bawiłem zarówno syntezą mowy jak i...

    Smart Home IoT   09 Sie 2021 10:31 Odpowiedzi: 66    Wyświetleń: 6591
  • Tuner Marantz 74ST40 - brak stereo i niski sygnał po wymianie filtra F201

    W dobrze zestrojonym demodulatorze FM 10,7MHz maksimum sygnału audio, maksimum sygnału w torze p.cz. i zatrzymywanie się automatu dokładnie na częstotliwości na jakiej nadaje stacja, mają się pokrywać, a nie że każdy ten punkt ciągnie w różną stronę. Jeżeli są rozjechane, to pracujesz na zboczu krzywej "S" demodulatora zamiast na jej środku, albo w...

    Audio Serwis   22 Paź 2024 16:08 Odpowiedzi: 22    Wyświetleń: 387
  • Mini 1-bitowy syntezator Gigantora

    http://obrazki.elektroda.pl/5177801100_1... Wstęp W skrócie: to kieszonkowy syntezator dźwięku z automatem perkusyjnym i kompozytorem „melodii”. Koncepcja tego urządzenia powstała zaraz po stworzeniu syntezatora Atari Punk Console – zaintrygowały mnie syntezatory z minimalistycznym interfejsem o ograniczonych możliwościach...

    DIY Konstrukcje   05 Sie 2017 23:35 Odpowiedzi: 28    Wyświetleń: 20331
  • Radio samochodowe Grundig WKC3680 Reverse - Nie odbiera stacji FM

    Czy autor potwierdził niesprawność w samej głowicy? Zakładam, że oscyloskopu autor nie ma. Bez zawężenia kręgu poszukiwań, gdzie na pewno ustalimy niesprawność w samym bloku głowicy, póki co za dużo zgadywania, bo się okaże, że głowica sprawna, a problem w bloku p.cz. jest. Zacząłbym od wpompowania sygnału p.cz. do LA1140 z innego sprawnego odbiornika...

    Początkujący Naprawy   18 Mar 2022 22:27 Odpowiedzi: 9    Wyświetleń: 561
  • Prośba o tłumaczenie i streszczenie strony DIY z createdigitalmusic.com

    Witam, mówisz, masz: " Życzą was móc budować (tworzyć) wasz własny muzyka (muzyczny) urządzenia? Nasz przyjaciel *Jason* *Kramer* wskazuje (kieruje; punkty; sprawy) do *Critter* Wsiadają na (oprawiać), “platforma towaru żelaznego dla stworzenia niezależnej elektronicznej muzyki urządzeń.” pokład (komisja) patrzy (wygląda) wielki: to ’*s*...

    Początkujący Elektronicy   07 Sty 2007 11:47 Odpowiedzi: 2    Wyświetleń: 896
  • Programowanie radiotelefonów MOTOROLA GP-xx, GM-xx i innych

    Mam podobne odczucia co do czułości. Pomijam fakt, że nie ma czegoś takiego jak "czułość syntezy". Jest czułość odbiornika. A każdy szanujący się serwisant GP340/360/380 powinien co najmniej raz każdy radiotelefon "potraktować" programem Tuner/Tuner32, gdzie takie "drobiazgi" jak moc wyjściowa, zestrojenie filtrów wejściowych odbiornika czy częstotliwości...

    Radiotechnika Początkujący   14 Lut 2024 09:09 Odpowiedzi: 2734    Wyświetleń: 193179
  • NAS + RAID degraded i daty. Ile za skrypt + szukam kogoś, kto to dobrze zrobi?

    Ja bym robotę zaczął od nieużywania tych wszystkich automatów które same z siebie po podłączeniu dysków formatują je i robią z nimi co chcą. Temat ma już miesiąc więc pewnie problem już rozwiązałeś tak czy inaczej. Ja w każdym razie gdybym się bawił w taką 'syntezę' danych to bym użył drugiej maszyny do której podepnę sobie nowe dyski z kopiami i która...

    Linux   06 Maj 2019 16:18 Odpowiedzi: 2    Wyświetleń: 348
  • Obliczanie obwodu rezonansowego dla generatora na pasmo 2m do syntezy

    Witam! W notach aplikacyjnych do kości obsługujacych syntezę zwykle są podane wartości elementów LC, jeżeli nie - to musisz sie troche pomęczyć z obliczeniami, które i tak trzeba będzie skorygować w trakcie montażu, gdyż niektóre wartości (np. pojemność montażu, indukcyjność doprowadzeń) można najwyżej oszacować z jakimś będem. Poczatek obliczeń jest...

    Radiotechnika Serwis   01 Cze 2007 19:17 Odpowiedzi: 2    Wyświetleń: 1152
  • VHDL: Jak przekonwertować real na std_logic_vector i odwrotnie bez utraty danych?

    Taka mała dygresja: narzędzia do syntezy, które do tej pory używałem nie syntezują typu "real". Tak więc nie bardzo wiem po co ci ta informacja. Xilinx ISE sam sobie potrafi operować na zmiennych typu real, jednak wcześniej czy później trzeba je skonwetować na coś bardziej strawnego np int albo std_logic_vector. Nie testowałem, aczkolwiek wcale by...

    Programowalne układy logiczne   30 Kwi 2009 23:52 Odpowiedzi: 3    Wyświetleń: 1241
  • Wybór programu do syntezy VHDL z obsługą przerzutników RS, alternatywy dla Synplify

    Już nieaktualne, automat zaprojektowałem jako synchroniczny taktowany zegarem i daje się go zsyntezować bez problemu. Tzn mam pewną nieścisłość, umieściłem na liście wrażliwościowej sygnał RST i najpierw sprawdzam RST a potem CLK, ma to działać jak reset asynchroniczny. Niestety mimo że moje scalaki mają makrocele z resetem i setem asynchronicznym zawsze...

    Mikrokontrolery   11 Paź 2003 20:27 Odpowiedzi: 17    Wyświetleń: 3528
  • Tuner Harman Kardon - przesunięcie częstotliwości przy automatycznym strojeniu

    Dlaczego tuner Harman Kardon zamiast stroić na automacie np. 88.4 łapie sygnał na 88.45 ? Przy ręcznym ustawieniu częstotliwości na 88.4 praktycznie nie łapie sygnału. To przesunięcie częstotliwości dotyczy wszystkich stacji. Częstotliwość wzorcowa do pętli fazowej (PLL) się przesunęła. Możliwe że coś się stało z kwarcem, może kondensatory straciły...

    Radiotechnika Serwis   28 Mar 2013 21:14 Odpowiedzi: 7    Wyświetleń: 2379
  • Midland 203 plus - Nie przełącza kanałów, cicho szumi, nie odbiera, nie nadaje.

    Słuchajcie dojdźmy no do porozumienia, bo jedynym powodem głębszej analizy nowego CB jest nowatorska synteza, która ma zerowy związek z tematem. Nie wiem gdzie kolega przebunkrował okres od 2003 roku jak wspólnie żeśmy ustalili na forum sposób postępowania z Alan 100 plus po przygodach z napięciem zasilającym z tzw. automatu. O braku zmiany kanałów...

    CB Radio   08 Paź 2018 13:41 Odpowiedzi: 25    Wyświetleń: 1854
  • FPGA/CPLD Rozbieżność między symulacją a pracującym układem

    Cóż, oczywiście myślę, że poprawna jest moja wersja. I potwierdzają to podesłane później linki. Wydaje mi się także, że Twój sposób rozumowania wynika z utożsamiania listy czułości procesu i bloku always z verilog'a. Więc chyba każdy z nas pozostanie przy swoim. A głupi automat rozumie nas obu ;-) https://obrazki.elektroda.pl/8988222100_...

    Programowalne układy logiczne   23 Mar 2020 16:26 Odpowiedzi: 18    Wyświetleń: 1095
  • [Rozwiązano] Tuner Denon TU1500RD - przestał wstrajać się...

    Jeżeli założymy, że masz też problem tylko z samym pływającym obwodem demodulatora (a nie, że np. synteza ma usterkę i sama głowica zaczęła pływać i nie trzyma dostrojenia do stacji, i będziemy błędnie leczyć skutki, a nie przyczynę defektu), to kluczem jest tutaj stałość termiczna obwodu demodulatora czyli układu LC wpiętego między nóżki 6 i 7 układ...

    Początkujący Naprawy   28 Cze 2021 15:06 Odpowiedzi: 7    Wyświetleń: 2352
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    Mówiąc "synteza" miałem na myśli pierwszy proces, który na tym grafie zaznaczony jest jako "synthesis". Całą procedurę, która jest na diagramie zwykle określa się jako "implementację". Ok. Choć pisałem że bitsteram się zmienia, co skwitowałeś jako "niemożliwe, na pewno Map Trace nie ma to wpływu na syntezę", co sugerowało że mówiąc o syntezie mówisz...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1956
  • Centrale i sieci kablowe telefonii stacjonarnej

    Witam mam takie pytanie czy ktoś z was posiadał by: -Zdjęcia naklejek z instrukcją itp do automatów tp,netia i dialog które były przy automatach? -Nagrania: 1."Nie ma takiego numeru nie ma takiego numeru" 2."Wybrany numer jest za krótki" 3."Przepraszamy nie ma takiego numeru informacje o aktualnych numerach znajdziesz pod numerem 118 913" 4.Zapowiedzi...

    Telefony Stacjonarne Użytkowy   29 Maj 2025 11:34 Odpowiedzi: 5123    Wyświetleń: 461100
  • Denon TU-235RD - Nie wyszukuje automatycznie i brak STEREO

    Dekoder nie ma tu nic do zatrzymywania. Ze schematu wynika, że za zatrzymywanie przy szukaniu stacji odpowiada nóżka 8 kostki LA1265. Gdy tuner jest dostrojony do stacji to panuje na niej stan niski, po odstrojeniu pojawia się stan wysoki. Ten odcinek toru trzeba prześwietlić, bo wynika, że to z nóżki 8 jest przekazywany sygnał do procesora informujący,...

    Audio Początkujący   17 Paź 2023 20:21 Odpowiedzi: 19    Wyświetleń: 2307
  • [VHDL] Automat FSM - błąd w wykrywaniu sekwencji czterech 1 lub 0

    Mam nadzieję, że przetestowałeś swój projekt w jakimś programie do symulacji, np. ModelSim. cos Ty, zauwazylem ostatnio tendencje kodowania ala Beethoven, on na stare lata ogluchl i komponowal muzyke ale on byl geniuszem, natomiast co niektorzy ziomale pisza kod i ... synteza->impl->bit->jtag i obczajka pali sie ta dioda czy nie. To nic ze kod przeczy...

    Programowalne układy logiczne   08 Lut 2008 08:50 Odpowiedzi: 18    Wyświetleń: 2605
  • Radio Yamaha 5930 ucieka sygnał radia

    Każdą stację ustawiasz o 50kHz niżej aby ją móc słuchać? Czy tylko niektóre stacje mają tę przypadłość? A automat szukający stacji zatrzymuje się równo w setkach kHz? 0,00, 0,10, 0,20, 0,30,...,0,90? Czy też dostaje zeza 50kHz? Pozostaje schemat do analizy, trochę zakręcony jest on i nie wszystkie bloki tam odnalazłem w tej plątaninie złączek, magistral....

    Audio Instrukcje obsługi   12 Mar 2021 17:27 Odpowiedzi: 4    Wyświetleń: 555
  • CB radio Alan 199 - Wyświetlacz kanałów nie działa, możliwe uszkodzenie LC7185-8750?

    Zobacz te tematy http://www.elektroda.pl/rtvforum/topic21... http://www.elektroda.pl/rtvforum/topic28... http://www.elektroda.pl/rtvforum/topic32... A potem sprawdzaj te elementy na czerwono, mam na myśli diody Zenera http://obrazki.elektroda.pl/8365529000_1... Czasem pokazuje ci na teście diody, że dioda jest dobra ale...

    CB Radio   09 Kwi 2017 12:58 Odpowiedzi: 23    Wyświetleń: 3255
  • Filozofia FPGA: Obsługa błędów poprzez automat stanu

    ale jesli chodzi o poprawienie czytelnosci kodu moze mozna by tak: No w zasadzie to nie chodzi mi o poprawienie czytelności, ale tego co powstaje po syntezie. Owa "brzydka" maszyna stanu, to po prostu maszyna stanu z wieloma przejściami. No idąc dalej, widzę że trzeba jednak zmniejszyć trochę poziom abstrakcji i dać konkretny przykład. Na rysunku jest...

    Programowalne układy logiczne   18 Lut 2008 20:13 Odpowiedzi: 6    Wyświetleń: 1836
  • Podatek za emisję, indywidualny "ślad smogowy"

    Należy zadbać o jak największą emisję VOC gdyż likwidują one NOx i przy nadmiarze nie pozwalają na syntezę O3. Z jednej strony O3 jest toksyczny i zabija, z drugiej - oczyszcza powietrze ze skladnikow smogu: najpierw NOx, a później PM (pomijam inne związki). W oczyszczaniu powietrza pomaga VOC zmniejszając ilość NOx i pośrednio zmniejszając ilosci...

    Systemy Grzewcze Użytkowy   10 Kwi 2025 19:49 Odpowiedzi: 468    Wyświetleń: 22965
  • Szukam skanów Radioelektroników o generatorach drgań gasnących z lat 70/80

    Witam, dziekuje za odpowiedzi, archiwa przejze. Artykuly o ktore pytalem na pewno byly w Re. Pierwszy byl na koniec opisywanego przez Wodzinowskiego syntezatora modulowego a drugi jako oddzielny artykol dotyczacy automatu perkusujnego z pamiecia i symulatorami brzmien bebnow. Byl tez oddzielny syntezator perkusyjny z serii MGW-xxx ale nie o niego pytam....

    Projektowanie Układów   22 Lut 2009 19:31 Odpowiedzi: 9    Wyświetleń: 6538
  • Zakres U w Tarabanie 3 - heterodyna przesunięta o +12,5 MHz zamiast +10,7 MHz?

    Czy autor jest pewny poprawności częstotliwości emitowanych przez transmiter i samego pomiaru jej przez SDR? Dobrym testem bez rzeczywistego miernika częstotliwości byłoby tutaj odebrać heterodynę innym sprawnym odbiornikiem z syntezą częstotliwości. Czyli ustawiamy Tarabana do obioru stacji nadającej poniżej 97,3MHz (97,3 + 10,7 = 108MHz) i potem drugim...

    Audio Początkujący   15 Wrz 2020 10:16 Odpowiedzi: 27    Wyświetleń: 2172
  • VHDL: Jak zresetować licznik w symulacji układu sygnalizacji świetlnej?

    Daj std_logic_vector czy bit_vector. Czy nie efektywne, to nie wiem bo bit_vector czy std_logic_vector to najbardziej efektywna metoda mi znana która pozwala ci kontrolować wartości co do bitu. Jeżeli deklarujesz integer to z automatu przypisuje ci o ile się nie mylę 32bity i to nie jest ważne czy ty używasz 1 stan czy 2^32. No możesz ten zakres zmniejszyć...

    Programowalne układy logiczne   21 Maj 2011 19:59 Odpowiedzi: 7    Wyświetleń: 2636
  • Moduł "krowiego dzwonka" na bazie Rolanda TR808

    http://obrazki.elektroda.pl/5112321200_1... Najkrócej mówiąc, przedstawione urządzenie jest modułem perkusyjnym do syntezatora w standardzie EURO, bazującym na zmodyfikowanym układzie "krowiego dzwonka" z automatu perkusyjnego Roland TR808. Historia powstania układu jest krótka – obiecałem dziewczynie zbudować perkusję elektroniczną,...

    DIY Konstrukcje   13 Mar 2014 22:16 Odpowiedzi: 10    Wyświetleń: 10512
  • 2019.09.14 Manifestacja w sprawie energetyki atomowej w Polsce

    Jeżeli jest tak jak piszesz to np. Duńczycy, potentat energetyki wiatrowej, nie znają się zarówno na matematyce, fizyce jak i ekonomii. A już na pewno na budowie elektrowni wiatrowych. Dlatego budują, jak twierdzisz bez sensu, morskie elektrownie wiatrowe dużych mocy, pojedynczych jednostek 10MW oddalone od brzegu o 50km. To znacznie podraża, komplikuje...

    Newsy   25 Paź 2019 05:36 Odpowiedzi: 664    Wyświetleń: 24729
  • VHDL – szeregowe wejście, rejestr 8 bit, CRC, wyjście równoległe z nagłówkiem

    Co prawda dopiero rozpoczynam swoja przygode z vhdl'em, ale postaram sie Ci pomoc. Patrzac na Twoj kod sadze, ze troche zle sie do tego zabrales. Nie jestem pewien jak zachowa sie ten process po syntezie, gdyz nigdy sie z taka konstrukcja nie spotkalem. Podejrzewam, ze wynikiem tego kodu moze byc proces realizujacy tylko przypisania z pierwszej wersji...

    Programowalne układy logiczne   24 Maj 2008 21:51 Odpowiedzi: 5    Wyświetleń: 1694
  • Metody syntezy układów sekwencyjnych - poszukuję materiałów i wskazówek

    Synteza układów sekwencyjnych jest skrótowo opisana tutaj: http://pl.wikipedia.org/wiki/Automat_Moo... Tam jest opisana metoda na przerzutnikach, a ja się uczyłem bez przerzutników (na samych bramkach). Nie wiem która wersja jest ci potrzebna. Niestety ja się dość dawno tego uczyłem i prawie nic już nie pamiętam.

    Początkujący Elektronicy   18 Wrz 2007 21:16 Odpowiedzi: 6    Wyświetleń: 2211
  • Lenovo G570 - Nie rozpoznaje grafiki i błędy na dysku twardym

    Problemy mi się trochę nawarstwiły, więc będzie chyba najlepiej, jeśli opiszę całą sprawę chronologicznie. Zaczęło się niewinnie. Wczoraj Windows od rana pracował dość ociężale, postanowiłem zatem skorzystać z podpowiedzi systemu i ściągnąć brakujące aktualizacje. Pliki się pobrały, lecz na etapie instalacji i konfiguracji wystąpił błąd, który dla systemu...

    Laptopy Hardware   15 Lis 2015 15:26 Odpowiedzi: 8    Wyświetleń: 1587
  • NAVA KLON Automat Perkusyjny

    https://obrazki.elektroda.pl/3005748400_... Wcześniej wykonałem syntezator modułowy ,teraz postanowiłem wykonać automat perkusyjny. Jest to klon automatu NAVA. Wzorowałem się ma schematach i opisach,ze strony https://www.dsl-man.de/display/DSO/Nava+... Wszystkie podzespoły wykonałem na oddzielnych płytkach,z dwóch powodów...

    DIY Akustyka   12 Lut 2019 18:56 Odpowiedzi: 19    Wyświetleń: 3831
  • Synth8 Unisonowy, 8mio kanałowy stereofoniczny syntezator 8miobitowy

    http://obrazki.elektroda.pl/1852929500_1... http://obrazki.elektroda.pl/5115381900_1... Mimo upływu lat w dalszym ciągu lubię od czasu do czasu odświeżyć sobie w pamięci elektroniczne brzmienia lat 80tych, kiedy to po raz pierwszy miałem okazję usłyszeć „syntetyczną” muzykę pochodzącą z wielkich drewnianych...

    Artykuły   10 Cze 2013 21:23 Odpowiedzi: 4    Wyświetleń: 7623
  • Czy AI wkrótce zastąpi człowieka?

    No właśnie ale czy sztuczna inteligencja oznacza samodzielne myślenie takiego tworu z nią wbudowaną ? Bo jeżeli tak to jest bez sensu, bo taka sztucznie myśląca osobowość może też mieć narowy zwykłej inteligencji opartej na galaretoputerze biologicznym i może np. powiedzieć pocałujcie mnie w wylot wentylacji od dziś nie będę wam pomagał albo może wpaść...

    Newsy   17 Wrz 2009 14:58 Odpowiedzi: 7    Wyświetleń: 2641
  • Renault Laguna II 2002r 3.0 V6 - Laguna II bardzo dziwny objaw immo. Nietypowe.

    Witam. Laguna II 2002r. benzyna 3.0 V6 z LPG. Skrzynia automatyczna. Taki problem nietypowy z immo. Czasami jest tak, że po włożeniu karty do końca wszystko działa prawidłowo a czasami nie załącza się immo, tzn. kontrolka czerwona świeci światłem ciągłym (nie gaśnie. Normalnie powinna po 2-3 sekundach zgasnąć). Wtedy auto nie odpala, tzn. nie ma żadnej...

    Samochody Początkujący   09 Lip 2015 01:12 Odpowiedzi: 12    Wyświetleń: 8202
  • Felieton w odpowiedzi na artykuł Urgon - Polemika na temat przemysłu PRL

    AVE... Dziękuję koledze (at)AlekZ za jego polemikę. W tematach okołohistorycznych warto dyskutować, by lepiej zrozumieć prawdę czasów. Pragnę też koledze odpowiedzieć na jego tezy i zarzuty po kolei. Jednoznaczne stawianie sprawy, że czasy były lepsze lub gorsze, a polski przemysł elektroniczny produkował rzeczy wyłącznie wspaniałe lub wyłącznie chłam...

    Tematy tygodnia   10 Sie 2023 17:07 Odpowiedzi: 68    Wyświetleń: 5097
  • C.O.V.I.D. [Powieść hard SF]. Kontynuacja serii Blackout.

    Już prawie od tygodnia gniję w szpitalu (niestety), jedynie szeroka diagnostyka czyli praktycznie wszystkie badania. Włącznie z TK, RM, RTG oraz innymi mniej przyjemnymi. Ponieważ zaopatrzyłem się w sprzęt IT to starałem się nie marnować czasu na gnicie w łóżku i w chwilach wolnych od nękających mnie łapiduchów, obiecany kolejny fragment napisałem....

    HydePark opowiadania, powieści   21 Gru 2022 12:35 Odpowiedzi: 100    Wyświetleń: 4527
  • Brak dźwięku z syntezera SM-2 po połączeniu z INTEGRA 64

    Centrala nie generuje "trzasków i szumów" a komunikat można nagrać z lekkim opóźnieniem tak by był odtworzony w całości .Dobrze koledzy radzili by zaznaczyć funkcję "podwójny komunikat" gdyż odbiorca czasem może nie być przygotowany na taką ewentualność "rozmowy z automatem" i zanim zrozumie o co chodzi to komunikat się zakończy. Szkoda tylko ze kolega...

    Systemy Alarmowe   15 Mar 2013 15:28 Odpowiedzi: 11    Wyświetleń: 2211
  • Jak rozpocząć przygodę z układami Xilinx Zynq

    https://obrazki.elektroda.pl/7472972200_... Xilinx Zynq to nowatorski układ typu System-na-Chipie (SoC), który może być w pełni programowalny przez użytkownika. W poniższym tekście opisano, jak łatwo rozpocząć swoją przygodę z tego rodzaju układem. Czym jest FPGA? Firma Xilinx znana jest z produkcji układów programowalnych (FPGA)....

    Artykuły   27 Wrz 2017 19:34 Odpowiedzi: 0    Wyświetleń: 3393