REKLAMA

synteza dds

Znaleziono około 192 wyników dla: synteza dds
  • Zaawansowane sztuczki z PWM - Cyfrowy syntezator dźwięków

    Dzisiaj fragment książki ----------------------------------------... Rozdział 13. Zaawansowane sztuczki z PWM Cyfrowy syntezator dźwięków Aby generować dźwięki nieco bardziej interesujące od fal prostokątnych, musimy wykorzystać technikę PWM, żeby szybko zmieniać wartość średnią napięcia, które będzie wyznaczało...

    Artykuły   22 Mar 2015 18:00 Odpowiedzi: 0    Wyświetleń: 5580
  • REKLAMA
  • Mini 1-bitowy syntezator Gigantora

    Wstęp W skrócie: to kieszonkowy syntezator dźwięku z automatem perkusyjnym i kompozytorem „melodii”. Koncepcja tego urządzenia powstała zaraz po stworzeniu syntezatora Atari Punk Console – zaintrygowały mnie syntezatory z minimalistycznym interfejsem o ograniczonych możliwościach (np. Nebulophone, Bleep Drum, Standuino fraAngelico)....

    DIY Konstrukcje   05 Sie 2017 23:35 Odpowiedzi: 28    Wyświetleń: 20184
  • Arduino Mega 2560 i DDS

    Chciałem przedstawić moją skleconą przy grzanym winie syntezę DDS. Od paru miesięcy leżał w szufladzie moduł DDS oparty o AD9850 i rezonator 125MHz. Mówi się, że nie wolno nic odwlekać do nowego roku, tak więc postanowiłem zrobić syntezę DDS, aby wzbogacić swój osprzęt pomiarowy. Materiały: Arduino Mega 2560 Przełącznik obrotowy (12 pozycji) Moduł...

    DIY Konstrukcje   07 Maj 2015 00:51 Odpowiedzi: 19    Wyświetleń: 11484
  • REKLAMA
  • ADF4113 synteza / ADF4360

    Zgadza się Rosjanie zawzięli się na syntezy , dds i robią robote. Nie wiem jak to wszystko pracuje no ale rozwiązania maja dość dobre. Może ktoś wykonał syntezę lub dds z opracowań rosyjskich i wystawi swoja opinię. Dość ciekawe rozwiązanie DDS , cały czas udoskonalana. Pozdrawiam Waldek

    Radiotechnika Serwis   24 Sie 2009 11:56 Odpowiedzi: 9    Wyświetleń: 3927
  • [Sprzedam] Synteza DDS AD9851BRSZ - Przetwornik DDS/DAC

    Do sprzedania: AD9851BRSZ  SSOP (28 nóżek)- 4 sztuki lub więcej - 49zł/sztuka Nowa nie lutowane -------------- Jeśli ktoś potrzebuje w dobrej cenie (pisać na PW) mam też: AD9851BRS AD9851BRSZ ADUC814 adxl203ce AD9852ASQ AD9852AST adxl202je AD9852ASTZ AD5378ABC AD9307AN AD0544 AD797ANZ Wszystko nowe nie lutowane, wyprzedaje nie użyte zapasy ze studiów:)

    Elementy elektroniczne Bazar   23 Sty 2014 20:19 Odpowiedzi: 0    Wyświetleń: 1029
  • Programowanie DDS do radiostacji

    Witam! Mam uruchomione urządzenie jednowstęgowe własnej konstrukcji z działającą syntezą DDS. Niestety podczas prac konstrukcyjnych uszkodziłem w procesorze AT89C52 dwa porty które są potrzebne do przełączania Tx/Rx no i w związku z tym potrzebuję zaprogramować nowy taki sam procesor. Synteza jest wykonana według opisu na stronie Niestety procesory...

    Radiotechnika Serwis   11 Gru 2009 12:10 Odpowiedzi: 4    Wyświetleń: 1224
  • Synteza DDS na układzie SI5351A dla radyjka KF: Procedura w Bascomie AVR (40-70MHz)

    Nie wiem czy dobrze trafiłem z forum ale mam taki problem chcę zbudować syntezę dds do radyjka kf na układzie SI5351A . Jak zmusić ten układ do ustawienia żądanej częstotliwości Np. od 40 do 70MHz . Chodzi mi tylko o samą procedurę w bascomie z resztą sobie poradzę . Wcześniej zbudowałem synteze na bazie układu 9850 synteza do 40 MHz .W Internecie udało...

    Inne FAQ   16 Lis 2022 11:53 Odpowiedzi: 2    Wyświetleń: 591
  • synteza dds na ad9835

    syntezer ze skokiem 1Hz od 0 do kilkunastu MHz. sterownik: pic16f84, częstotliwość wyświetlana na lcd, manipulator: fragment myszy komputerowej. program w asemblerze. zainteresowanych proszę o mail na jozsuc(at)wp.pl. używam tego jako vfo do radia na 0 - 7MHz. udostępnię schemat, kod źródłowy do dalszych modyfikacji. j.

    Radiotechnika Serwis   06 Wrz 2003 22:40 Odpowiedzi: 1    Wyświetleń: 3928
  • REKLAMA
  • Schemat ze sterowaniem syntezy DDS na układzie AD9834

    Poszukuję schematu syntezy DDS na układzie AD9834, wraz ze sterowaniem. Chodzi mi przede wszystkim o sterowanie, bo sam schemat mam z noty katalogowej, czy z sierpniowego Funk Amateur, ale problem jest ze sterowaniem. Potrzebuję program i układ sterowania mikrokontrolerem. Wim że były takie np. na PIC-ach. Jeżeli ktoś posiada takie materiały to proszę...

    Radiotechnika Serwis   29 Kwi 2009 17:36 Odpowiedzi: 2    Wyświetleń: 3848
  • REKLAMA
  • Synteza DDS wg OM3CPH. Czy ktoś to robił?

    Witam Czy ktoś miał już jakieś doświadczenia, realizował to opracowanie?

    Radiotechnika Serwis   22 Mar 2005 21:56 Odpowiedzi: 2    Wyświetleń: 2866
  • Wybór syntezy DDS na pasma KF do VFO

    Prosze o porade ktora synteze DDS na KF wybrac . Mam duze doswiadczenie w budowie sprzetu KF ale nie w budowie syntezy DDS . Prosze o schemat lub link do sprawdzonego ukladu w miare prostego . Z gory dziekuje za odpowiedzi . P.S. Szukam kontaktu z kims kto ma doswiadczenie z DDS i chce przekazac swoje uwagi na temat konstrukcji

    Radiotechnika Serwis   17 Kwi 2007 01:53 Odpowiedzi: 12    Wyświetleń: 11756
  • Można z tego zrobić oscyloskop? synteza DDS 400MHz

    Witam Od jakiegoś czasu napaliłem się na zrobienie oscyloskopu komputerowego którego schemat wala się po elektrodzie... powoli kompletuje części i ostatnio na allegro znalazłem układziki syntezy DDS 400MHz... i tu pytanie, da się na tym zrobić oscyloskop? Jeżeli to jest synteza to pewnie rozkłada sygnał na częstotliwości składowe, a do tego potrzeba...

    Projektowanie Układów   12 Maj 2006 22:57 Odpowiedzi: 2    Wyświetleń: 1289
  • Wzmacniacz do cyfrowej syntezy DDS AD9851

    Zrobiłem układ syntezy częstotliwości DDS razem z programową modulacją. na temat układu DDS mam zamiar wstawić do tej syntezy stopień wzmacniacza i filtry np. opisane tutaj oczywiście interesuje mnie tylko mała moc!! Ten modulator należy podłączyć w miejsce kwarcu w nadajniku, a ja chciałem całkowicie pominąć elektronikę z mojego nadajnika. Myślałem...

    Radiotechnika Serwis   17 Wrz 2006 12:57 Odpowiedzi: 28    Wyświetleń: 9321
  • Synteza DDS. Co i w którym miejscu mam poustawiać? Parametry.

    Witam Was wszystkich, jestem nowy na tym forum i w tym temacie. Mam dość duży problem, a przynajmniej na moją miarę: Kilka miesięcy temu znajomy wykonał dla mnie prosty odbiornik z możliwością docelowego rozbudowania do wersji transceiver, na pasmo 80m. Wyposażył go w syntezę wg opisu Jarka sp2swj. Radio stało przez dwa tygodnie pod moją nieobecność...

    Radiotechnika Serwis   22 Wrz 2006 12:59 Odpowiedzi: 14    Wyświetleń: 2973
  • synteza dds na ad9958

    Projekt oparty na AD9958 Jego autorem jest Robert MACKŮ Dla zainteresowanych podaję link www.urel.feec.vutbr.cz/BMPT/media/projek... Niestety całość jest po czesku,więc trochę się natrudziłem z rozgryzieniem tematu. Ale synteza do 200 MHz brzmi zachęcająco. Wykonałem już płytkę dwustronną do syntezy. Za kilka dni umieszczę fotki. Dla niedowiarków...

    Projektowanie Układów   22 Cze 2009 18:43 Odpowiedzi: 6    Wyświetleń: 5137
  • Synteza DDS/PLL a widmo sygnału.

    Witam Pytanie moje dotyczy czystości widma sygnału z DDS i PLL, który z sygnałów jest czystszy.

    Radiotechnika Początkujący   05 Wrz 2009 23:25 Odpowiedzi: 12    Wyświetleń: 2981
  • Problem z syntezą DDS wg DL4JAL

    Witam! Posiadam układ DDS wykonany według tego opisu [url=]Link Układ został zmontowany przez innego krótkofalowca. Niestety podczas prac z urządzeniem w którym DDS jest wykorzystywany niechcacy uszkodziłem w procesorze dwa porty sterujące TX/RX i w związku z tym postanowiłem zaprogramowac nowy procesor. Po podłaczeniu DDS-a z nowym procesorem okazało...

    Radiotechnika Serwis   09 Kwi 2010 09:41 Odpowiedzi: 23    Wyświetleń: 4248
  • [Kupię] Syntezy DDS AD9951 i AD9852 w dobrej cenie

    Poszukuję wyżej wymienionych układów scalonych w dobrej cenie pilnie potrzebne do pracy magisterskiej. Preferowany kontakt PW.

    Elementy elektroniczne Bazar   01 Gru 2012 14:22 Odpowiedzi: 0    Wyświetleń: 975
  • Synteza DDS SP2FP: Skaczące kropki na wyświetlaczu LED 2x16 po wymianie na LCD

    Jak w temacie. Synteza DDS SP2FP skaczące kropki na wyświetlaczu LED 2x16, po wymianie wyświetlacza na LCD wszystko jest ok. Na zasilaniu żadnych zakłóceń (sprawdzone oscyloskopem). Z góry dziękuję za podpowiedzi co może być przyczyną.

    Radiotechnika Początkujący   27 Sie 2021 18:23 Odpowiedzi: 6    Wyświetleń: 348
  • Czy można użyć DDS w heterodynie?

    Czy można użyć syntezy DDS w heterodynie i jeśli tak to o czym powinienem wiedzieć i może jakieś dodatkowe rady. Interesuje mnie dokładnie DDS (niestety).

    Radiotechnika Serwis   19 Paź 2007 20:42 Odpowiedzi: 7    Wyświetleń: 1616
  • Generator DDS z offset`em

    Witam Jestem na etapie projektowania generatora bazującego na bezpośredniej cyfrowej syntezie- DDS. Jak wiele już opublikowanych projektów generator będzie bazował na scalonym DDS`ie AD9833 oraz wzmacniaczu sterowanym cyfrowo AD8321. Z doświadczenia wiem że często zachodzi potrzeba dodania do synału składowej stałej- offsetu. I tu moje pytanie, w jaki...

    Projektowanie Układów   29 Sty 2009 20:24 Odpowiedzi: 0    Wyświetleń: 1241
  • Kilkupasmowy TRX z syntezą -

    Witam. Zainteresowałem się ostatnio tą konstrukcją: . Chciałbym wykonać podobne radio, ale z mniejszą ilością pasm. Planuję dać tylko dwa pasma: 80m, 40m i 20m. Zależy mi tylko na SSB. Wszystko byłoby OK, ale jest problem. Boję się zaczynać budować Antka, który ma niezbyt dobrą opinię wśród konstruktorów... Więc postanowiłem zbudować inny TRX, do którego...

    Radiotechnika Początkujący   13 Kwi 2013 07:44 Odpowiedzi: 0    Wyświetleń: 2208
  • sp5ww synteza i mieszacz ade-1

    W montowałem do sp5ww zamiast oryginalnego mieszacza mieszacz ADE-1 tera powstały pytania. V640 z sondą wcz dla porównania na oryginalnym bfo było zostało zmierzone 250mV tyle co na schemacie więc ten pomiar biorę za wzór tego co autor sp5ww przewidział. Do tego synteza dds. 1. Mierzyłem poziomy sygnały na wyjściu syntezy vfo 0,6V po tłumiku z oporów...

    Radiotechnika Początkujący   08 Gru 2017 10:18 Odpowiedzi: 15    Wyświetleń: 2244
  • DDS i modulacja częstotliwości (cyfrowo)

    Wystarczy zmodulowac sygnal z generatora odniesienia syntezy DDS. Hmm, wg mnie conajmniej dziwne rozwiązanie. Oto wady jakie wymyśliłem na szybko: - jako generator odniesienia zwykle wykorzystuje się generatory kwarcowe (czasami optymalizowane pod danym kątem), często gotowe oscylatory - ciężko tutaj zmeniać częstotliwość; - jeśli wykorzystujemy w...

    Radiotechnika Serwis   15 Mar 2007 23:07 Odpowiedzi: 14    Wyświetleń: 3827
  • Synteza PLL >Proszę o pomoc<

    Moim zdaniem żaden z tych układów przynajmniej przy prostej aplikacji nie nadaje się do odbioru SSB (wymagany jest krok minimum 100Hz) co w wypadku syntezy PLL wymaga sporej komplikacji układu. Proponuję zainteresować się układem opartym o syntezę DDS. Jest sporo opisów w sieci. Można zajrzeć na stronę

    Radiotechnika Serwis   02 Sty 2006 12:24 Odpowiedzi: 6    Wyświetleń: 2331
  • Odbiornik na kilka MHz + synteza

    Jak rozumiem, poszukujesz syntezy PLL (stosowanie syntezy DDS w tym celu jest wg mnie bezsensowne). Czasami tanie syntezy bywają na Allegro - nie wiem ile sztuk poszukujesz. Poszukaj np. U6205B - często bywa, przy czym zerknij na parametry, bo o ile dobrze pamiętam to synteza dla głowic TV. Gdzieniegdzie można dostać syntezy Nationala serii LMX, np....

    Radiotechnika Serwis   13 Lut 2006 15:06 Odpowiedzi: 11    Wyświetleń: 3827
  • [Sprzedam] transciver SSB 80m DDS 2 VFO + BFO

    Urządzenie obecnie pracuje w paśmie 80 metrów emisjami USB i LSB Moc nadawania 1,5 Wata Synteza obsługuje pełne pasmo KF+UKF według opisu SP2SWJ (mozna użyc w innym urządzeniu z dowolną częstotliwością p.cz.) Kompletny opis mojej konstrukcji z fotografiami Sposób wykonania urządzenia jest oczywiście amatorski i to widac na fotografiach. Radio ma bardzo...

    Ogłoszenia Elektronika   02 Kwi 2010 12:41 Odpowiedzi: 2    Wyświetleń: 2935
  • Syntezator MIDI, SID 8580 [8051][Asembler]

    Ano z tymi enkoderami bardzo fajny kompromis. Ja daję te potencjometry do regulowania wszystkiego w locie, bo ma ta konstrukcja mieć jak najwygodniejszą regulację. Przeraża mnie tylko perspektywa dodawania przetwornika A/D i multipleksera na szynę danych i potem lutowanie całego okablowania do przedniego panelu, szycia wiązek z kablami :). Z klawiszy...

    Mikrokontrolery   03 Maj 2012 14:46 Odpowiedzi: 48    Wyświetleń: 13129
  • Mini DDS - prosty generator funkcyjny

    Mini DDS (Direct Digital Synthesis) Często potrzebny jest prosty generator funkcyjny dla wygenerowania określonej częstotliwości. Generatory komercyjne są drogie, więc najtaniej jest zbudować generator samemu. Niniejszy projekt przedstawia bardzo prosty generator typu DDS składający się z układu 2313 i sieci rezystorów. Schemat jest bardzo prosty....

    DIY Zagranica   14 Lut 2011 10:19 Odpowiedzi: 6    Wyświetleń: 10706
  • Synth8 Unisonowy, 8mio kanałowy stereofoniczny syntezator 8miobitowy

    Mimo upływu lat w dalszym ciągu lubię od czasu do czasu odświeżyć sobie w pamięci elektroniczne brzmienia lat 80tych, kiedy to po raz pierwszy miałem okazję usłyszeć „syntetyczną” muzykę pochodzącą z wielkich drewnianych „szaf” (automaty arcade) lub trochę mniejszych plastikowych pudełek zwanych komputerami osobistymi. W tamtym...

    Artykuły   10 Cze 2013 21:23 Odpowiedzi: 4    Wyświetleń: 7446
  • DDS sterowany po ad9850 i 89c2051

    Jesli ktos w praktyce nie napisal programu obslugi syntezera to raczej ci niepowie wiecej niz moze odczytac z noty katalogowej. Jesli bedziesz cierpliwy to za jakis czas zajme sie oprogramowaniem "wiekszych" syntezerow, i wtedy cos praktycznego bede mogl powiedziec narazie sluze podpowiedziami na temad AD9833, bo wykonalem na nim dzialajacy projekt....

    Radiotechnika Serwis   12 Wrz 2004 22:20 Odpowiedzi: 16    Wyświetleń: 2982
  • Cyfrowy Koder Stereo DDS FM sterowany szeregowo z wyjcia I2S

    Nie owijajac w bawełne, miałby to być cyfrowy koder stereo TAS3001 - 32-bitowy procesor dźwiękowy realizujący funkcje: mieszania dwoch sygnałów,preemfazy, kompresji dynamiki, korekcji barwy dźwięku i regulacji głośności (wszystkie regulacje przeprowadzane sa na slowie cyfrowym) ----------------------------------------...

    Radiotechnika Serwis   25 Wrz 2006 09:38 Odpowiedzi: 6    Wyświetleń: 7865
  • Generator funkcyjny z układem DDS

    Po zakupie na aukcji internetowej modułu z układem DDS typu AD9850, autor zdecydował się na stworzenie własnego generatora funkcyjnego wykorzystującego bezpośrednią syntezę cyfrową, który mógłby zastąpić generator pracujący do tej pory w warsztacie autora. Moduły z układami AD9850 można czasami kupić bardzo tanio na aukcjach internetowych. Niektórzy...

    DIY Zagranica   30 Mar 2013 21:05 Odpowiedzi: 0    Wyświetleń: 12054
  • Generator DDS 10 Hz-100 kHz

    Kolego Tajoka czy mas problemy z czytaniem i zrozumieniem czytanego tekstu? Ww generator oparty jest na syntezie dds, fakt że nie powala parametrami ale to już inna sprawa. Samo wykonanie stoi na dość dobrym poziomie.

    DIY Zagranica   30 Mar 2011 00:38 Odpowiedzi: 5    Wyświetleń: 8813
  • Referat. Syntetyzery częstotliwości, metoda syntezy bezpośredniej.

    Pewnie chodzi o syntezery o angielskiej nazwie Direct Digital Synthesis. (DDS). Analog Devices robi gotowe układy. Ogólnie polega to na tym, że układ generuje adresy do pamięci zawierającej jeden okres sinusa. Generator adresu składa się z akumulatora (sumator+rejestr) dodający co okres próbkowania liczbę odpowiadającą przyrostowi fazy. Jeżeli akumulator...

    Początkujący Elektronicy   11 Sty 2005 21:39 Odpowiedzi: 2    Wyświetleń: 1281
  • Generator DDS - JAK REGULOWAC AMPLITUDE ?

    Sam komplikujesz sobie życie bez zagłębiania się dokładnie w notę i brak matematycznego myślenia oraz dokładnego sprawdzenie tego co napisali inni. To moja podstawowa uwaga, jednak możesz zrobić jak poniżej napisałem: - pobierasz częstotliwość wyjściową (np klawiatura dziesiętna) - podstawiasz do przekształconego wzoru na wyliczanie wartości ładowanej...

    Radiotechnika Serwis   06 Paź 2007 19:51 Odpowiedzi: 28    Wyświetleń: 8842
  • Generator wielokanałowy (4kanały) DDS (lub nie) do 0-200kHz

    ...niestety te geeratorki DDS zmontowane z prcoka + drabinka rezystorów są 1 kanałowe , nieznalazłem narazie nic wielokanałowego jedyne co to ktoś udostepniał schemat i wsad dwukanałowego na ATtiny2313 (6+8 bitów) o stałej nieregulowanej częstotliwośći 19 i 38kHz ... Jak dobrze pamiętam to osobiście zamieściłem stosowny soft o którym jest tu mowa i...

    Mikrokontrolery   23 Kwi 2009 16:24 Odpowiedzi: 13    Wyświetleń: 5732
  • [Mega16][ASM] DDS z tablicą różną od 256 bajtów

    Dzięki za konkretną odpowiedź. Potrzebuję tablic o większej wielkości, bo staram się zbudować quasi "syntezator" i muszę jakos odtwarzać sample. Z początku próbowałem rozwiązać to na przerwaniach i po prostu odczekiwać jakiś czas pomiędzy kolejnymi próbkami, ale niestety to nie dawało wymaganej dokładności nut. Zainteresowałem się DDSem i próbuje zmusić...

    Mikrokontrolery AVR   30 Lip 2010 13:05 Odpowiedzi: 4    Wyświetleń: 1116
  • Generator funkcyjny DDS, zniekształcenia

    Zacznij od wrzucenia konkretnego kodu, bo to co wrzuciłeś teraz to najwyżej skaluje amplitudę, wiec niezbyt wiadomo w czym _MOŻE_ być problem. Najlepiej wraz z jakimiś przykładowymi wartościami dla dX i S które powodują problem. Niemniej jednak efektu nie da się wyeliminować całkowicie. Jeśli w 1024 próbkach masz przykładowo liniowe narastanie od 0...

    Mikrokontrolery   11 Gru 2016 11:55 Odpowiedzi: 137    Wyświetleń: 4011
  • Generator funkcyjny DDS AD9834 25 MHz

    Oczywiście, że udostępnię. Ale nie nastąpi to szybko ponieważ w tzw "międzyczasie" zająłem się zaległymi projektami instrumentów muzycznych: zrobiłem symulator organów Hammonda B3, kończę prace nad syntezatorami - monofonicznym i polifonicznym.

    DIY Konkurs   19 Gru 2017 14:04 Odpowiedzi: 7    Wyświetleń: 5907
  • Wspólny projekt generatora DDS na elektroda.pl

    Ale zauważ istotną sprawę, że choć są różne dedykowane układy DDS tu wyżej wymienione, to tak właściwie przy kryterium cenowym projektu , jedyną realną wobec założeń, pozostaje i tak właściwie tylko opcja syntezy DDS na uC. Chciałbym zwrócić uwagę, że u chińczyka jest dostępny w tej chwili dwukanałowy generator DDS FeelTech FY3200S w aktualnej cenie...

    DIY w budowie   31 Paź 2019 10:08 Odpowiedzi: 175    Wyświetleń: 16194
  • Schemat syntezera DDS wg. DL4JAL

    DDS DL4JAL to tak naprawde 2 urządzenia do wyboru: - generator serwisowy z wobuloskopem - VFO ( z róznymi bajerami) Ostatnio robiłem płytki do DL4JAL dla kilku HAM-sów - i rozsyłałem je - kilku juz nawet odpaliło syntezy.... Teraz pracuje nad płytka dodatkowa do Dl4JAL - sterowanie przekaźniakmi do pasm itp spraw do TRXa Dzisiaj testowałem filtr dolnoprzepustowy...

    Radiotechnika Serwis   07 Sie 2006 18:16 Odpowiedzi: 26    Wyświetleń: 13552
  • Inicjalizacja dds-a AD9835

    Koledzy właśnie kończę projekt płytki dds i atmega8. Mam do wyboru kanału nastawniki BCD i zaczynam się zastanawiać jak zabrać się za sterowanie syntezą. Mam zamiar napisać program w Bascom, może ktoś pisał i uruchomił coś podobnego. Chodzi mi o podpowiedzi na temat sekwencji wysyłania danych i sterowania.

    Radiotechnika Serwis   21 Paź 2006 12:02 Odpowiedzi: 4    Wyświetleń: 2043
  • Generator funkcyjny DDS

    Generator funkcyjny to podstawowe narzędzie do testowania układów elektronicznych. Większość tego typu urządzeń umożliwia wygenerowanie przebiegu sinusoidalnego, piłokształtnego oraz prostokątnego. W prezentowanym projekcie generatora DDS, mamy możliwość uzyskania dowolnego przebiegu okresowego. Jest to możliwe dzięki zastosowaniu cyfrowej syntezie...

    DIY Zagranica   12 Gru 2008 18:06 Odpowiedzi: 4    Wyświetleń: 13432
  • DDS z wykorzystaniem ATMega88 i drabinki R-2R

    Autor niniejszego opisu planował testować swego czasu tagi RFID na częstotliwość 150 kHz, jednak nie posiadał własnego generatora sygnałowego – dlatego postanowił wykorzystać w tym celu mikroprocesor ATMega88 i bezpośrednią syntezę cyfrową sygnału. Zamiast jednak sięgnąć po gotowe rozwiązanie z Internetu, postanowił się dowiedzieć czegoś więcej...

    Artykuły   09 Gru 2012 15:58 Odpowiedzi: 5    Wyświetleń: 24720
  • Generator AVR DDS v. Artur K.

    THD nie mierzyłem, nie widziałem ani sensu ani potrzeby. Z założenia nie miał to być nie wiadomo jak dobry generator. Zbudowałem to raczej jako ciekawostkę, a jak już poszedłem tak daleko i zacząłem poprawiać kod, to postanowiłem nie marnować pracy, a zrobić z tego jakiś użytek. Rezystorów nie dobierałem, zastosowałem 1%, zresztą tylko takie mam w wersji...

    DIY Warsztat   13 Kwi 2018 06:36 Odpowiedzi: 20    Wyświetleń: 10812
  • Opóźnienie w pamięci w generatorze DDS

    Poczytaj: Wpisz w Google: DDS częstotliwość maksymalna To, jak działa DDS ja dobrze rozumiem. Maksymalna częstotliwość równa 2x mniejsza(w praktyce jeszcze mniejsza) niż zegar taktujący ale mi nie o to chodzi. Co z tego, że dam zegar 1GHz skoro pamięć zawierająca LUT będzie miała opóźnienie 5ns i po prostu nie będzie wyrabiała. Oto mi chodzi w tym...

    Warsztat elektronika   27 Cze 2018 21:16 Odpowiedzi: 4    Wyświetleń: 372
  • Synteza PLL

    Mam wielka prosbe . Czy ktos z kolegow znalazl w internecie schemat syntezy PLL ale nie na SAA1057 , TSA6057 , MC145158 i nie na ukladach DDS ?

    Radiotechnika Serwis   27 Mar 2007 20:44 Odpowiedzi: 27    Wyświetleń: 12025
  • Synteza na 200/250MHz. Poszukuję łatwo dostępnego układu scalonego.

    witaj, tyle dni i nikt ni odpowiedzial? to prosze DDS firmy Analog AD9858 jesli to jednostkowy projekt to nie ma problemu mozesz poprosic ich o bezplatne sample ( dwie sztuki) i przywiezie TNT BEZPLATNIE do domu. jesli to ma byc produkcja ...to trzeba szukac dalej bo cena 45 USD hmm chyba troche drogo. Popatrz pod tym linkiem jest na dole strony tabela...

    Radiotechnika Serwis   29 Mar 2008 22:59 Odpowiedzi: 20    Wyświetleń: 6542
  • Jaką syntezę polecacie dla odbiornika SDR

    Witam! Jaką polecacie syntezę do odbiornika SDR? Dokładniej chodzi mi o synteze na dds-ie a nie si570. Oglądałem stronę ur4qbp i jest tam takowa ale pracuje tylko do 18MHz. Pozdrawiam

    Radiotechnika Początkujący   16 Paź 2012 17:38 Odpowiedzi: 0    Wyświetleń: 708
  • Programowanie Syntezera DDS AD9852

    Witam! Mam problem z zapisem do rejestrów AD9852. Zapis adresu i odczyt poszczególnych rejestrów działa bez problemów. Program mam napisany na ATMega8 w C, kompilator CodeVisionAVR. Wiem, że trzeba się zsynchronizować z sygnałem REFCLK (taktującym syntezę) a wskaźnikiem tego ma być wyjście/wejście IOUpdate, tylko, że na tym wejściu jest cały czas stan...

    Mikrokontrolery   25 Kwi 2005 08:19 Odpowiedzi: 4    Wyświetleń: 2509
  • SP5WW z DDS-em

    Witam wszystkich walczących z DDS ami wg DL4JAL W załączeniu płytka do syntezy DL4JAL, robić koniecznie na dwustronnym laminacie !!! Na płytce są wymiary jakie powinna mieć po wydrukowaniu. szukających materiałów od DL4JAL zapraszam na stronę jeżeli ktoś chce wiedzieć co potrafi DL4JAL niech poczyta poniżej Jak już wcześniej pisałem można tam pobrac...

    Radiotechnika Serwis   27 Kwi 2005 16:54 Odpowiedzi: 39    Wyświetleń: 28450
  • DDS DL4JAl z nowym softem zmodyfikowanym przez SP2SWJ

    Witam.. Jest już nowsza wersja z ulepszonym działaniem funkcji RIT i SPLIT Po włączeniu w/w funkcji na LCD nadal wyświetlane są dwie częstotliwości, ale są oznaczone która jest nadawcza a która odbiorcza. Można przestrajać niezależnie częstotliwości TX i RX -)) Dodatkowo w trybie nadawania zablokowane jest przestrajanie syntezy. Zainteresowanych proszę...

    Radiotechnika Serwis   17 Lis 2005 16:29 Odpowiedzi: 10    Wyświetleń: 4365
  • Miniaturowy generator serwisowy 1Hz - 12MHz zasilany 3V, DDS

    ...PS.Jakie jest zadanie tego ukladu TCO-3199H i czy mozna go czyms zamienic? Generator kwarcowy, do zastapienia kazdym innym generatorem kwarcowym 0-25MHz -odpowiednio inny zakres i krok syntezy

    Artykuły   19 Sty 2007 04:17 Odpowiedzi: 12    Wyświetleń: 13189
  • DDS (VFO + BFO) - płytka drukowana. Plik w Eagle.

    Sądzę , że chodzi o AD8951. Podpowiem, że wystarczy pobrać ze strony producenta Eagle odpowiednią bibliotekę do tej syntezy i bez większego kłopotu zaprojektować własną płytkę na wzór opublikowanej.

    Początkujący Elektronicy   20 Mar 2007 10:48 Odpowiedzi: 3    Wyświetleń: 2088
  • Generator DDS i AD1851

    Witam! Jako, że są terminy obron pracy dyplomowych, magisterskich, inżynierskich etc. pojawiła się pewien problem. Tematem mojej pracy inżynierskiej jest cyfrowy generator przebiegów sinusoidalnych na pasmo akustyczna. Praca jest gotowa, generator działa na zasadzie cyfrowej syntezy (direct digital synthesis). Cyfrowy sinus o częstotliwości od 10Hz...

    Audio Serwis   25 Cze 2007 10:33 Odpowiedzi: 0    Wyświetleń: 967
  • PIC10F206 i DDS AD9834

    tak tak - zgadza się ten drugi ,który zapodałem- pisany pod PIC10F206 przeznaczony do sterowania którąś z syntez PLL od Analog Devices

    Mikrokontrolery PIC   24 Sie 2009 21:40 Odpowiedzi: 4    Wyświetleń: 2613
  • [Szukam] DDS - Artykuł Radioelektronik Audio Hi-Fi nr 1/93

    Szukam artykułu "Cyfrowa bezpośrednia synteza częstotliwości" z numeru jak w temacie, oraz wszelkich innych pomocnych materiałów. Jeśli ktoś posiada i jest w stanie się podzielić będę bardzo wdzięczny. Pozdrawiam!

    Ogłoszenia Elektronika   21 Gru 2011 14:50 Odpowiedzi: 2    Wyświetleń: 928
  • Genarator funkcyjny DDS na AVR.

    Można zrobić generator na MAX038 ale jego kupno graniczy obecnie z cudem. :arrow: Generator DDS "biednego człowieka :D " jest jeszcze biedniejszym urządzeniem, niż te tutaj. Brak tu wyjściowego filtra dolnoprzepustowego. Może w prezentowanym urządzeniu zastosować przetwornik C/A mnożący ? Polega to na zastąpieniu napięcia odniesienia przetwornika sygnałem...

    DIY Poczekalnia   12 Cze 2012 22:47 Odpowiedzi: 33    Wyświetleń: 15519
  • Generator DDS na FPGA

    To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można na nim zrobić rzeczy, przy których procesory wymiękają. Prezentowany...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19701
  • Szukam autora "synteza 145"

    Dzięki już znalazłem, jest to sp7nma. Swoją drogą szukam jakiejś fajnej syntezy do tzw. "murzynka". Mogę zaoferować całą dokumentację do dds'a wg dl4jal (wykonałem już kilka egzemlarzy i działa wspaniale - dds na ad9850/9851) Pozdrawiam Zbyszek - sp7ewl

    Radiotechnika Serwis   20 Kwi 2005 11:12 Odpowiedzi: 11    Wyświetleń: 3686
  • Cyfrowa Synteza w.cz.

    Jak "kumasz" jednoukładowce to zainteresuj się układami DDS firmy Analog....

    Radiotechnika Serwis   15 Gru 2003 10:36 Odpowiedzi: 4    Wyświetleń: 2433
  • Synteza częstotliwości-jaki układ ?

    Przetestowałem już sporo sampli z Analoga (np: ADF4001, 4106 (+3,3V), 4116, AD9835-DDS, AD831, AD8307, ADuC812, ADXL202 przetworniki CA i AC) i w nie zauważyłem żadnych rozbieznośći w parametrach. Najważniejsze to nie przeginać z zamówieniami, 2 scalaki w zupełności wystarczają. Ostatnio sporo pracowałem z PLL-ami i nadal podkreślam, że są to bardzo...

    Radiotechnika Serwis   04 Lut 2005 18:00 Odpowiedzi: 16    Wyświetleń: 4134
  • Synteza dla RX KF. Sterownik na AVR i soft w Bascomie.

    olej saa1057. minimalny krok który uzyskasz to 1kHz + sporo szumu. 1kHz na kf jest do bani. zastosuj dds np ad9851. komfortowego oprogramowania bardzo dużo, a min. krok np. 1Hz, przy doskonałej stabilności i odpowiedniej czystości widmowej. wpisz w googlach ad9851. zobaczysz, co będzie dalej j.

    Mikrokontrolery   03 Maj 2006 01:52 Odpowiedzi: 2    Wyświetleń: 1458
  • Gałki na transoptorach do sterowania syntezą - problemy...

    Ja się nie zastanawiałem czy 10 czy 20 dB śmieci więcej to dużo czy mało jeżeli jest możliwość coś u źródla zmniejszyć to to robię. Ja w tej syntezie już niewiele będę udoskonalał AD9951, współpraca z PLL ze zmiennym podziałem VCO, poprawię działanie DDS BFO. zrobię VFO na 9951 i dwa BFO na 9851 do radia z dwoma pośrednimi i IF shiftem i może dodam...

    Radiotechnika Serwis   26 Sie 2006 14:17 Odpowiedzi: 37    Wyświetleń: 4391
  • Synteza do odbiornika modelarskiego 35Mhz 40Mhz

    Zrobiłem modulator na procesorku z obsługą syntezy DDS. Teraz chciałbym zrobić odpowiedni odbiornik. Modulator pokrywa pasma modelarskie 27MHz i 35MHz. Mam układ MC3362 i na jego podstawie razem z DDS i procesorkiem atmega8 bo taki mam w SMD chciałbym zrobić odbiornik. Procesor wykorzystam do sprawdzania poprawności transmisji, żeby serwa nie wariowały....

    Radiotechnika Serwis   24 Sty 2007 19:32 Odpowiedzi: 18    Wyświetleń: 5805
  • Nadajnik Szaflika z syntezą PLL [MaX 8W]

    mpx-fm - widzę, że uparłeś się na integrację "PLL+VCO" w jednej kości :-) i inne metody cyfrowe. Zapewne z czasem będzie to standardem jednak teraz wiąże się to z pokonaniem kilku barier.... ADF4360-8 - ciekawa kość i skłaniałbym się w jej kierunku może tylko dlatego, że własne konstrukcje opieram o PLL-e z serii ... ADF4xxx :-) i nie tylko. Stosując...

    DIY Poczekalnia   10 Lis 2016 01:10 Odpowiedzi: 790    Wyświetleń: 204521
  • Synteza częstotliwości do odbiornika DRM

    Poszukaj o DDS'ach z Analog Devices.

    Radiotechnika Serwis   07 Sty 2009 06:41 Odpowiedzi: 2    Wyświetleń: 1527
  • synteza do radmora 3033/6

    Coś bardziej wypasionego to tylko synteza DDS na bazie rozwiązania DL4JAL na AD9851. O szczegóły musisz pytać SP2SWJ.

    Radiotechnika Początkujący   28 Maj 2009 20:57 Odpowiedzi: 10    Wyświetleń: 2682
  • TRX Kacper II - Montaż i uruchomienie. Kilka pytań odnośnie syntezy

    Czyli jak rozumiem, przy nadawaniu też DDS ma pchać 11 MHz zamiast 3? Tak. Przecież jak jest samo VFO bez DDS to cały czas pracuje na tej samej częstotliwości. Podczas nadawania sygnał BFO wykorzystywany jest do wzbudnicy DSB, a po przejściu przez filtr kwarcowy mamy już sygnał jednowstęgowy 8MHz który jest kierowany na mieszacz sterowany VFO i 8 MHz....

    Radiotechnika Początkujący   20 Gru 2015 21:29 Odpowiedzi: 9    Wyświetleń: 2712
  • Szukam schematu syntezy na zakres UKF 87-108 MHz (TTL/CMOS)

    Właduj sobie w jakąś pamięć próbki sinusa, układ sumatora, na wejściu 1 nastawiać będziesz częstotliwość (co którą próbkę sinusa oddać), a na drugim sprzężenie zwrotne na jakimś rejestrze (z którego adresować będziesz też w/w pamięć). Jakiś tcxo jako sygnał zegarowy i będzie śmigać (taki prosty dds)

    Projektowanie Układów   27 Cze 2018 13:32 Odpowiedzi: 17    Wyświetleń: 1518
  • Przykładowy kod w C dla 8051 do sterowania syntezą AD9835

    Jeśli masz narzędzia czyli kompilator i programator, to jest to do zrobienia na 89S8252. Nie widzę racjonalnego powodu do wymiany kostki na inny typ (jak tu niektórzy proponują). Mam nawet pewien sentyment do tej kostki, bo swego czasu robiłem na niej duży projekt. Tyle że C okazał się zbyt rozrzutny jak chodzi o zużycie pamięci, tak że od pewnego momentu...

    Mikrokontrolery Pozostałe   27 Paź 2019 19:23 Odpowiedzi: 11    Wyświetleń: 1077
  • Synteza na układzie DDS jako VFO i BFO jednocześnie

    Witam Konstruktorom wszelkich TRX -ów na KF polecam : ...przewinąć do końca strony ..... Pozdrawiam Jarek SP2SWJ

    Radiotechnika Serwis   28 Sty 2006 15:30 Odpowiedzi: 0    Wyświetleń: 1812
  • Różnica pomiędzy syntezą PLL a DDS

    synteza dds generuje kupę śmieci które nie są harmonicznymi, wystarczy przejrzeć kartę katalogowo jakiegoś syntezera, komparator robiący z sinusa prostokąt usuwa szumy amplitudowe nie fazowe, Powiem więcej: szumy amplitud są przenoszone na szumy fazy.

    Radiotechnika Serwis   01 Lut 2010 11:09 Odpowiedzi: 24    Wyświetleń: 15246
  • Odbiornik pasma lotniczego - podłączenie DDS lub syntezy

    Po przejściach z niedziałającą syntezą wróciłem do SI5351. Prosty tłumik, i modyfikacja programu zmniejszyła poziom sygnału wyjsciowego do 137mV, więc akceptowalnie Problemem jest tylko ilość zakłóceń wchodząca do radia z Arduino. Jest traktorek, na RTLSDR jest pełno szpilek dookoła sygnału użytecznego. Jak sobie z tym poradzić?

    Radiotechnika Początkujący   07 Cze 2016 21:34 Odpowiedzi: 49    Wyświetleń: 10461
  • DDS (bezpośrednia synteza cyfrowa) - podcast #03 elektroda.pl

    W kolejnym odcinku kontynuacja tematu DDS, [url=]AD9834.

    Artykuły   23 Mar 2019 19:36 Odpowiedzi: 10    Wyświetleń: 2811
  • Rzadko zadawane pytania: Jak dobrać element do generacji częstotliwości

    Pytanie: Jaki jest właściwy element do generowania częstotliwości dla mojej aplikacji? Odpowiedź: Zrozumienie charakterystyki i parametrów poszczególnych komponentów generujących częstotliwość ma kluczowe znaczenie dla określenia właściwego rozwiązania dla docelowego urządzenia. Poniższy artykuł to krótki przewodnik, który ma pomóc inżynierom systemów...

    Artykuły   08 Lut 2022 00:51 Odpowiedzi: 3    Wyświetleń: 993
  • Manutronix - Syrena dubowa inspirowana konsolą NES

    Streszczenie Urządzenie jest szeroko pojętym efektem audio i może pełnić funkcje: - cyfrowej syreny dubowej (bardzo zaawansowanej), - modułu perkusyjnego / efektowego, - samodzielnego efektu „delay”. Demo na końcu postu. Słowo wstępu – co to w ogóle jest syrena dubowa? To prosty efekt dźwiękowy, wykorzystywany w dubie i reaggae. Brzmienie...

    DIY Konstrukcje   18 Paź 2016 08:02 Odpowiedzi: 8    Wyświetleń: 8430
  • Dwa nowe układy pozwalają podejść na nowo do generatorów sygnału

    Dawniej najtrudniejszą częścią projektu generatora funkcyjnego była realizacja stopnia wyjściowego. Typowy generator sygnału oferuje wyjście o szerokim zakresie amplitud, od 25 mV do 5 V. Aby sterować obciążeniem o impedancji 50 Ω, tradycyjne generatory wykorzystują rozwiązania dyskretne, równolegle połączone ze sobą układy scalone lub dedykowane...

    Artykuły   21 Paź 2014 15:25 Odpowiedzi: 0    Wyświetleń: 3519
  • Superstar 360FM / Alan 87 - Płynąca częstotliwość - czy ktoś pamięta o ile?

    W generatorze 14.010 wymieniłem kondensatory i kwarc nowy i zalałem woskiem. Dds podpiety doda wyjście vfo i synteza w radiu nie potrzebna. U mnie zrobiłem połączenie na wtyczke jack stereo, że jak odlaczam Dds to radio pracuje na syntezie. Tak poza tematem to w alanie 555 będę dawał Dds na stałe, będzie tam wypruta cała synteza z generatorem vfo i...

    CB Radio   26 Sty 2015 13:09 Odpowiedzi: 13    Wyświetleń: 2004
  • Częstotliwość p.cz. dla fal LW

    W sprzęcie fabrycznym chodzi o minimalizację kosztów produkcji i dlatego stosuje się syntezę lub inne pokrewne. Jesteś tego pewien? A aparatura pomiarowa, np. analizatory widma? Tam chyba nie stosuje się strojonych silniczkiem VFO, a parametry sygnału generatora muszą być wysokiej jakości, znacznie wyższej niż w odbiornikach... Łatwiej jest wyklepać...

    Radiotechnika Serwis   04 Lut 2006 22:31 Odpowiedzi: 24    Wyświetleń: 2700
  • Analizator Widma Akustycznego - Bargraf 60dB - Audio Spectrum Analyzer

    Koledzy Sundayman i Pandy wybaczcie ale dla mnie wasze deklaracje co do szybkości budowy analizatora widma o podobnych parametrach pozostają tylko deklaracjami i niczym więcej w zestawieniu z realnie działającym urządzeniem. Ja zawsze jestem za działającymi, nawet niedoskonałymi, rozwiązanie a nie deklaracjami, że da się zrobić super hiper. Super hiprer...

    DIY Konstrukcje   01 Cze 2013 17:34 Odpowiedzi: 71    Wyświetleń: 51921
  • Czy i kiedy DIY nie ma sensu?

    W słusznie minionych czasach ciągłych braków i ogólnej biedy umiejętność samodzielnego konstruowania i naprawiania najróżniejszych rzeczy była na wagę złota. Z konieczności ludzie budowali własne meble i zabudowy do ciasnych, PRLowskich mieszkań, konstruowali własny sprzęt audio, jak wzmacniacze i radioodbiorniki, lub naprawiali i ulepszali sprzęt...

    Tematy tygodnia   25 Cze 2023 19:30 Odpowiedzi: 218    Wyświetleń: 8880
  • Sample z Analog Devices

    Witam szanownych elektrodowiczów. Stało się faktem, Analog Devices nie wysyła darmowych próbek do Polski (tzn. wysyła, ale tylko osobą które mają adres e-mail z domeną .edu i com ). Znaczy to tyle, że trzeba mieć adres e-mail z instytucji naukowej np. uczelnia wyższa lub firma. Firma podjęła taką decyzję pewnie dlatego, że na Allegro jest sprzedawane...

    Elementy Elektroniczne - zamienniki i identyfikacja   10 Lut 2017 15:07 Odpowiedzi: 17    Wyświetleń: 6845
  • Generator W.cz. 10MHz-100MHz

    za to mieszając sygnał z generatora 200MHz z sygnałem generatora przestrajanego 200-300MHz uzyskasz po odfiltrowaniu 0-100MHz. Tylko że zrobienie generatora przestrajanego w takim zakresie przy takich częstotliwościach też do łatwych nie należy. :) jak coś jest łatwe w przestrajaniu to ma kiepską stabilność częstotliwości. W zakresie 200-300MHz myślę...

    Początkujący Elektronicy   22 Lut 2021 11:25 Odpowiedzi: 13    Wyświetleń: 1563
  • [C]Generator sinusoidalny-sprawdzenie schematu, dobór filtru

    Użyteczny kalkulator pokazujący kształt napięcia z DDS w zależności od częstotliwości taktowania syntezatora DDS:

    Mikrokontrolery AVR   12 Maj 2009 09:34 Odpowiedzi: 7    Wyświetleń: 2664
  • Odbiornik SSB/CW na pasmo 80/40m.

    JUMA-RX1 jest odbiornikiem SSB/CW na pasmo 80/40 m kontrolowanym przez syntezę dds. Może zostać zbudowany bez nawijania żadnej cewki. Jest to odbiornik o bezpośredniej przemianie częstotliwości. Generator VFO został zbudowany w oparciu o sterowany procesorem syntezer dds, zapewniając w ten sposób dużą stabilność. Konstrukcja odbiornika jest prosta...

    DIY Zagranica   06 Sty 2011 10:09 Odpowiedzi: 0    Wyświetleń: 4385
  • Jaki TRX QRP, zwłaszcza czuły odbiornik.

    Cześć . A ja proponuję coś zupełnie innego . JUMA-RX1 i JUMA-TX1 JUMA-RX1 jest to układ o bezpośredniej przemianie częstotliwości . Na szczególną uwagę zasługuje układ mieszacza wykonany na dostępnych za parę groszy 74HC4052 i ciekawie rozwiązana sprawa filtru . Autor nie zastosował drogich i trudno dostępnych filtrów kwarcowych . Szerokość pasma kształtuje...

    Radiotechnika Serwis   14 Mar 2006 20:17 Odpowiedzi: 16    Wyświetleń: 10429
  • Rigol DG1032Z - Jak działa generator arbitralny

    Trudno chyba wyobrazić sobie pracę elektronika, który nie dysponuje choćby najprostszym generatorem. Obok miernika uniwersalnego, zasilacza i oscyloskopu jest to podstawowe narzędzie warsztatowe. W dzisiejszych czasach elektroniczny sprzęt pomiarowy został niemal w stu procentach zdominowany przez przyrządy wykorzystujące technikę cyfrową. Trend ten...

    Mikrokontrolery   01 Wrz 2017 08:41 Odpowiedzi: 24    Wyświetleń: 13878
  • VCO w układzie PLL (zakres przestrajania)

    Cześć. Co do pierwszej części pytania to proste. Nie da się stroić VCO w całym jego zakresie w sposób gwarantujący stabilność syntezy. A co za tym idzie zasadniczych parametrów radia, które co ważne w razie trx-a będą decydować o zdatności do użytku. W drugiej części pytania szerokość zakresu jest ograniczona w głównej mierze osłabieniem w.cz. w sprzężeniu...

    Początkujący Elektronicy   08 Maj 2018 07:07 Odpowiedzi: 3    Wyświetleń: 768
  • CB radio na PASMA AMATORSKIE

    Cześć. W 2004 roku założyłem podobny temat. Głównie interesowało mnie pasmo 6 m, ze względu na łatwość przestrojenia. Temat niestety umarł śmiercią naturalną... :) Trochę informacji jest w tym linku (kłopoty z otwieraniem obrazków): Temat jest tutaj: Myślę, że na niskie pasma się nie opłaca. Z oryginalnego radia pozostanie tylko p.cz i m.cz. gałki i...

    Radiotechnika Serwis   12 Wrz 2009 12:40 Odpowiedzi: 13    Wyświetleń: 5490
  • Odbiornik SSB/CW od 4kHz do 4MHz

    Wczoraj podłączyłem do tego odbiornika 12 pasmową syntezę DDS SQ1GU zamiast dostosowanego oryginalnego generatora VCO, mimo pewnych "ułomności" DDSa, nie muszę już ganiać "pływających" stacji, jak miało to miejsce z VCO.. Swoistym wzorcem częstotliwości jest "zdudniona" stacja Warszawa I na 225 kHz (na odwrotnej wstędze BFO = 8,008 MHz w stosunku do...

    Radiotechnika Początkujący   05 Sty 2017 22:16 Odpowiedzi: 33    Wyświetleń: 12486
  • Mini generator warsztatowy, prostokąt, piła, trójkąt, sinus na NE555

    Czasem każdemu elektronikowi-konstruktorowi zdarza się potrzeba sprawdzenia działania jakiegoś układu przez podanie na jego wejście sygnału testowego o jakiejś ściśle określonej lub regulowanej częstotliwości i/lub kształcie. Układy do wytwarzania takich przebiegów nazywane są generatorami funkcyjnymi. Jednym z najprostszych w aplikacji i najbardziej...

    Artykuły   30 Maj 2023 15:18 Odpowiedzi: 13    Wyświetleń: 3822
  • Czy można wstawić inny układ zamiast LC7185?

    Wpadłem na troszkę inny pomysł, otóż z jednej sztuki LC7218 zrobię sobie miernik częstotliwości (przydatny w różnych sytuacjach i w oczywiście w każdej pracowni), a drugą w radio. doszedłem do wniosku, że od kroku 2,5 kHz, bardziej mi się może przydać krok 0,5kHz (o ile w ogóle oczywiście synteza pójdzie na dzielniku z przerzutnika, ale o co chodzi),...

    Radiotechnika Serwis   17 Gru 2022 00:12 Odpowiedzi: 47    Wyświetleń: 7342
  • Szeroko pasmowy generator VFO

    Witam Co do syntezy DDS to jestem za, najchętniej kupiłbym jakiś zestaw do samodzielnego montażu, sprawdzony i w przystępnej cenie. Mam jeszcze pytanie, tu chodzi mi bardziej o praktyczne rady - budując VFO, takie tradycyjne bez żadnej syntezy, do jakiej częstotliwości można uzyskać wymaganą stałość częstotliwości przy emisji SSB, oczywiście wiem że...

    Radiotechnika Serwis   29 Sie 2009 18:35 Odpowiedzi: 21    Wyświetleń: 12988
  • Problem w zaprogramowaniu AT89S52

    Zwracam się do kolegów z prośbą rozwiązania problemu w programowaniu układu AT89S52 . Potrzebuję go do syntezy DDS wg DL4JAL . Na płytce syntezy jest złącze ISP i chcąc wgrać plik hex programem "ISP programmer" wyskakuje komunikat " Can't rease not known device" . Kombinowałem na rózne sposoby programować kompem stacjonarnym i laptopem bez rezultatów...

    Mikrokontrolery   28 Lis 2009 19:31 Odpowiedzi: 1    Wyświetleń: 1152
  • Generator niskich częstotliwości

    Takie założenia to tylko cyfrowo, żadnych cewek, kondensatorów i opampów. Życzę powodzenia w uzyskaniu stabilności częstości przebiegu 0,001 Hz przy użyciu elementów biernych. Trzeba zastosować scaloną syntezę DDS, np. AD9835. Dodano po 2 Zrób dwa generatory, jeden kwarcowy a drugi przestrajany i korzystaj z różnicy częstotliwości. A ten przestrajany...

    Początkujący Elektronicy   08 Gru 2013 11:37 Odpowiedzi: 7    Wyświetleń: 3129
  • Analog Devices zaprasza na wykład o podstawach taktowania i sygnałach zegarowych

    Firma Analog Devices zaprasza wszystkie zainteresowane osoby na wykład on-line, jaki odbędzie się 23 marca bieżącego roku o godzinie 16 Polskiego czasu, Wykład poświęcony będzie zegarom, syntezie częstotliwości, terminologii związanej z systemami taktowania, zależnościom czasowym i typowym aplikacjom tego rodzaju układów. Na wykładzie omówione zostaną...

    Artykuły   16 Mar 2017 20:32 Odpowiedzi: 0    Wyświetleń: 1596
  • Generator VCO

    to jak wy mi tu a max-ach piszecie to ja tylko wspomne o syntezie DDS (chyba najlepszej do tego zastosowania) na ukladzie AD.... niepamietam jaki dokladnie cos kolo 60zl kosztuje wersja z zegarem 50MHz

    Radiotechnika Serwis   26 Lip 2003 19:03 Odpowiedzi: 9    Wyświetleń: 2876
  • Poszukiwania mieszacza

    Moze trochę jeszcze namieszam,ale... W ofercie Analog Devices są fajne syntezy częstotliwości DDS, przy 24 bitowym słowie podzielą fclk równiućko...odfiltrować i po sprawie. Układy są dostępne jako próbki...np. AD9834 do 50 MHz, trochę mało ale są też inne... Pozdrawiam Kazor

    Projektowanie Układów   16 Sie 2009 10:14 Odpowiedzi: 38    Wyświetleń: 8223