REKLAMA

synteza filtr

Znaleziono około 757 wyników dla: synteza filtr
  • Filtr Butterwortha 2-ego oraz 4-ego rzędu.

    Poszukaj symulatora QUCS Jest tam również synteza filtrów

    DSP i Transmisja   17 Sty 2013 13:00 Odpowiedzi: 1    Wyświetleń: 2670
  • Syntezator - jaki polecacie do 1500 zł

    W poprzednim poście nie wyjaśniłeś że masz na myśli organy elektroniczne (chyba nie mówi się - jak napisałeś - "elektryczne"...). Myślałem że masz na myśli organy piszczałkowe w kościele. Ja się odnosiłem do tego, co zostało napisane: " ciężko Ci będzie grać brzmienia hammondowe na ważonej klawiaturze, zwłaszcza slide po klawiaturze " nikt nie pisał...

    Audio Estradowe, Sceniczne, Studyjne   25 Kwi 2013 09:57 Odpowiedzi: 12    Wyświetleń: 4593
  • Jaki filtr antenowy? - zakłócanie toru TV.

    :arrow: Sentymentalny IDE... :? Jak masz (znasz) lepszy to chetnie porownam. :Gdzie to napisać? :? Odpowiedz w dziale syntez :)

    Radiotechnika Serwis   17 Mar 2004 17:15 Odpowiedzi: 14    Wyświetleń: 4756
  • REKLAMA
  • Synth8 Unisonowy, 8mio kanałowy stereofoniczny syntezator 8miobitowy

    Mimo upływu lat w dalszym ciągu lubię od czasu do czasu odświeżyć sobie w pamięci elektroniczne brzmienia lat 80tych, kiedy to po raz pierwszy miałem okazję usłyszeć „syntetyczną” muzykę pochodzącą z wielkich drewnianych „szaf” (automaty arcade) lub trochę mniejszych plastikowych pudełek zwanych komputerami osobistymi. W tamtym...

    Artykuły   10 Cze 2013 21:23 Odpowiedzi: 4    Wyświetleń: 7446
  • REKLAMA
  • Syntezator dźwięku "Prodiż"

    Wprowadzenie Od dłuższego czasu nosiłem się z zamiarem przerobienia jakiegoś małego, taniego syntezatorka. Miałem niezwykłą ochotę posiąść małe, bateryjnie zasilane urządzenie z wbudowanym głośnikiem, na którym mógłbym sobie pograć w wolnych chwilach. Początkowo chciałem dokonać prostego circuit-bendingu, jednak często mierne wyniki tego rodzaju operacji...

    DIY Akustyka   08 Sty 2020 06:40 Odpowiedzi: 37    Wyświetleń: 179232
  • REKLAMA
  • Zaawansowane sztuczki z PWM - Cyfrowy syntezator dźwięków

    Dzisiaj fragment książki ----------------------------------------... Rozdział 13. Zaawansowane sztuczki z PWM Cyfrowy syntezator dźwięków Aby generować dźwięki nieco bardziej interesujące od fal prostokątnych, musimy wykorzystać technikę PWM, żeby szybko zmieniać wartość średnią napięcia, które będzie wyznaczało...

    Artykuły   22 Mar 2015 18:00 Odpowiedzi: 0    Wyświetleń: 5583
  • StyloSynth70 - miniaturowy syntezator

    Odrobina historii Stylophone jest przenośnym, miniaturowym syntezatorem dźwięku, stworzonym w roku 1967, produkowanym w latach 1968-1975, wznowionym z wieloma modyfikacjami w 2006. Jego charakterystyczną cechą jest sposób działania - użytkownik ma do dyspozycji stylus oraz metalową klawiaturę, gra polega na zamykaniu obwodu: zasilanie - stylus - metalowy...

    DIY Początkujący   04 Maj 2011 21:15 Odpowiedzi: 18    Wyświetleń: 12228
  • Konwersja sygnału na falę kwadratową (DIY syntezator)

    Mam nadzieję, że to dobre miejsce, sprawa dotyczy bowiem prób samodzielnego skonstruowania syntezatora. Problem: Jak zamienić sygnał z keyboardu na falę kwadratową? Najpierw myślałem o użyciu filtru dolnoprzepustowego, żeby usunąć harmoniczne i otrzymany sinus przepuścić przez przerzutnik Schmitta, niestety przy takim filtrowaniu amplituda sygnału jest...

    Początkujący Elektronicy   09 Lut 2013 14:43 Odpowiedzi: 0    Wyświetleń: 972
  • Syntezator MINI Synton WG-1 - szukam schematu, instrukcji

    Ale fajny temat żeś zapodał :D . Obawiam się, że nie zdobędziesz ani schematu ani instrukcji. Jestem pewien, że instrument ten jest wczesnym dziełem Grzegorza Wodzinowskiego - sygnatura WG-1. Na początku lat 80-ych ub wieku był on prekursorem syntezatorów DIY w Polsce a wiele jego konstrukcji zostało opisanych w Radioelektronikach z tych lat. Między...

    Elektronika Retro   18 Mar 2014 15:23 Odpowiedzi: 5    Wyświetleń: 4443
  • Dlaczego w efektach gitarowych używa się filtrów I rzędu, a nie Sallen-Key?

    Witam, ja bym zaproponowal moze inne podejscie do problemu, co tak naprawde filtrujemy i w jakim celu? Uklady o wiekszym nachyleniu nie do konca sa tu poprostu potrzebne a nawet za skomplkowane. W syntezatorach mamy do czynienia z wycinaniem konkretnych pasm czasem dosc precyzyjnie ale w przypadku gitar chodzi o dodanie "smaczku" i to wystarcza. Czy...

    Początkujący Elektronicy   08 Gru 2018 15:43 Odpowiedzi: 2    Wyświetleń: 162
  • Syntezator wavetable na Arduino Uno

    Mocno zoptymalizowany projekt syntezatora dźwięków oparty o ATmega328 taktowany zegarem 16MHz. Autor zastanawiał się, co uda się uzyskać przy pomocy Arduino Uno i kilku rezystorów - ostatecznie 8-bitowy symulator gitary przekształcił się w zaawansowany syntezator dysponujący sześcioma bankami dźwięków. Otwartoźródłowy projekt został napisany w czystym...

    DIY Zagranica   15 Maj 2015 17:06 Odpowiedzi: 9    Wyświetleń: 5898
  • Generowanie sygnałów i modulacja dźwięków w przenośnym syntezatorze z wykorzystaniem Arduino

    Witam, jezeli wolisz syntezator cyfrowy to moze poszukaj sobie specjalizowanych ukladow gdyz budowa od podstaw moze byc trudna. Cyfrowy syntezator bez MIDI to jakby samochod bez kierownicy. Jakchcesz kontrolowac parametry? Jest kilka podejsc do problemu, przykladowo masz zaawansowany CPU (DSP) gdzie wejsciem jest plik PCM (cos w stylu pliku wave) zawierajacy...

    Projektowanie Układów   06 Lut 2021 11:19 Odpowiedzi: 12    Wyświetleń: 435
  • REKLAMA
  • Analogowy dobry syntezator. Szukam projektu

    Zakładając, że coś ci się nie żre w oprogramowaniu (zamulony dysk, fragmentacja) to może spróbuj go odkurzyć, najlepiej sprężonym powietrzem (i ewentualnie pędzelkiem), często to pomaga. Tylko rozbierz go na kawałki z wyjęciem kart rozszerzeń by dokładnie oczyścić z kurzu. Przy okazji poruszysz złącza, to też pomaga. Czasami kurz + okresowa wilgoć w...

    Początkujący Elektronicy   05 Gru 2007 15:30 Odpowiedzi: 18    Wyświetleń: 6990
  • Prosty syntezator, pomysły, schematy, porady...

    A może byś pokazał ten projekt, bo tak to ciężko rozmawiać: ENVELOPE (nie EVENLOPE) - obwiednia (tu dźwięku) Z jednym generatorem to słabo brzmi, bo nie możesz uzyskać efektu dudnień (daje taki fajny tłusty dźwięk). Przeważnie generatory syntezatorów analogowych generują (w danej chwili jeden): - przebieg trójkątny symetryczny (w barwie zbliżony do...

    Projektowanie Układów   23 Lip 2011 18:17 Odpowiedzi: 4    Wyświetleń: 6990
  • Przestrajanie sprzegnietych filtrow HP i LP - jak zrobic?

    Witam Kostka fajna ale cena zaporowa jak za wlasciwie nic nadzwyczajnego (w TME 30pln netto). To juz chyba taniej byloby jezeli stosowaloby sie OTA uzyc np CA3080 tylko, ze te znowu szumia/bucza itd. Obejrzalem za to jak sa rozwiazane filtry w syntezatorach i wlasciwie sterowanie czesto jest bardzo podobne do tego co narysowalem w pierwszym poscie....

    Projektowanie Układów   30 Cze 2010 23:57 Odpowiedzi: 4    Wyświetleń: 1827
  • 3 efektowe syntezatory dźwięku od Gigantora

    Przedstawiam konstrukcje 3 analogowych efektowych syntezatorów dźwięku, które niedawno wykonałem: Atari Punk Console, Waveform Processing WP-20 oraz MFOS Weird Sound Generator. Atari Punk Console (APC) Schemat urządzenia pod nazwą "Stepped Tone Generator" pojawił się po raz pierwszy w roku 1980, jego autorem jest Forest M. Mims III. Po roku 2000 za...

    DIY Konstrukcje   09 Cze 2012 14:40 Odpowiedzi: 5    Wyświetleń: 10443
  • Axoloti - syntezator cyfrowy, symulujący analogowy, modułowy układ

    Axoloti to system, pozwalający na cyfrową syntezę dźwięku. System składa się z urządzenia - Axoloti Core opartego o procoesor z rodziny STM32, realizującego cyfrowo całą syntezę oraz z oprogramowania - Axoloti Patcher. To co odróżnia Axoloti od innych syntezatorów dźwięku jest sposób jego kontroli i programowania. Dzięki unikalnemu podejściu i dedykowanemu...

    DIY Zagranica   03 Sty 2016 16:59 Odpowiedzi: 9    Wyświetleń: 6195
  • [Sprzedam] Syntezator ACCESS VIRUS TI

    Sprzedam syntezator Access Virus TI Snow. Syntezator VIRUS TI Snow marki ACCESS to najbardziej kompaktowy i budżetowy model z rodziny instrumentów VIRUS TI. Świetny wybór dla ludzi chcących cieszyć się pełną funkcjonalnością technologii TI za mniejszą cenę i światowym brzmieniem Virusa. Syntezator posiada własny układ procesorów DSP, co oznacza, że...

    Estrada Bazar   21 Cze 2018 00:33 Odpowiedzi: 0    Wyświetleń: 489
  • Tuner Sony SA3ES - Brak stereo /Kondensator w filtrze.

    W obwodach demodulatorów FM jak zależy nam na stabilności termicznej obwodu to lepiej użyć kondensatora styrofleksowego (foliowego) KSF, bo są stabilniejsze termicznie niż ceramiki. Przykładem jest obwód demodulatora w tunerach diorowskich z syntezą AS952, AS9461, AS9462, AS946 gdzie cewki wykonane na karkasach fi 5mm obudowane ekranem filtrów 12x12,...

    Audio Serwis   26 Lut 2023 17:38 Odpowiedzi: 11    Wyświetleń: 465
  • filtr programowy ??

    Do pracy z sygnałem zewnętrznym potrzebna Ci karta full duplex (czyli taka w której działa jednocześnie jej wejście i wyjście).Nie wiem czy SB128 temu podoła przydała by się karta z serii Live lub wyżej. No chyba że chcesz pracować na plikach wav czy mp3.W takim przypadku powinno się to udać z SB128 i Cool Edit Pro ale ważne jest by komputer był dość...

    Audio Estradowe, Sceniczne, Studyjne   04 Maj 2004 00:46 Odpowiedzi: 8    Wyświetleń: 1254
  • generator szumu do pomiaru filtrow kwarcowych

    Jak chcesz to wrzuce resztę ale zabardzo to nie ma sensu, to w PE to właściwie jest VCO (Voltage Controled Oscillator) zależnie od napięcia na wejściu masz proporcjonalną częstotliwość na wyjściu, jeżeli masz oscyloskop po podajesz przebieg piłokształtny (dajesz ten sam przebieg na wejście X oscyloskopu) na wyjście podpinasz do np. filtru i z niego...

    Radiotechnika Serwis   28 Paź 2004 01:08 Odpowiedzi: 13    Wyświetleń: 3324
  • FM i synteza PLL

    A tak naprawdę, to synteza to jest zupełnie co innego, niż to, co zwykło się nazywać tym terminem. Otóż syntezer częstotliwości to układ, który z kilku częstotliwości generuje inną częsttliwość za pomocą zestawu mieszaczy i filtrów. Kiedyś takie rozwiązanie było wykorzystywane w sprzęcie radiowym, bo kwarce były strasznie drogie.

    Radiotechnika Serwis   15 Lis 2005 11:58 Odpowiedzi: 30    Wyświetleń: 10293
  • Szerokopasmowy syntezator z pętlą PLL o częstotliwości granicznej 4,4GHz

    Nowy układ firmy Analog Devices pozwala na zaimplementowanie szerokopasmowej pętli PLL z możliwością podziału przez ułamkowe lub całkowite N. ADF4351 wymaga zastosowania zewnętrznego źródła częstotliwości odniesienia oraz filtr. Układ posiada zintegrowany oscylator kontrolowany napięciem z podstawową częstotliwością od 2,2GHz do 4,4GHz. Dodatkowy układ...

    Newsy   27 Cze 2012 10:07 Odpowiedzi: 0    Wyświetleń: 1895
  • Prosty filtr dolnoprzepustowy lub equalizer do VCO.

    (...)Potrzebuję wykonać prosty filtr dolnoprzepustowy lub EQ. Możliwość kontrolowanego przesterowania układu mile widziany(...) Chyba najbardziej godny polecenia byłby dolnoprzepustowy filtr drabinkowy Moog’a (Moog ladder), takiego typu jak w syntezatorze Taurus. Alternatywą może być filtr oparty na układach OTA, np. LM13700 (alternatywa dla...

    Audio Estradowe, Sceniczne, Studyjne   25 Sie 2018 02:54 Odpowiedzi: 3    Wyświetleń: 792
  • Wybór filtra Murata 455kHz 2kHz IT dla Alan18/Herbert2 - Którego sprzedawcę wybrać?

    Temat wałkowany od niepamiętnych dziejów i Ty się pytasz o wrażenia. Wystarczy porównanie Herberta co bez problemu da się zrobić w przeciwieństwie do A 18, ponieważ przeszkodą jest piątkowa synteza. I weźcie nie mówcie już o 2 kHz, bo jakby nie wiedzą lamery śmierdzące z allegro, że mamy +/- 2 kHz , tj. 4 kHz.

    CB Radio   13 Mar 2019 05:20 Odpowiedzi: 7    Wyświetleń: 2730
  • Regulacja gain w układzie tilt EQ - przed czy za filtrem?

    Mam taką zagwozdkę. Złożyłem sobie na płytce stykowej układ regulacji barwy tonu typu "tilt", taki: Układ jest zasilany symetrycznie +-12V. Bardzo fajnie się zachowuje włączony za oscylatorem prostokątnym/piłokształtnym, dlatego chcę sobie kilka takich wykonać jako moduł do modulara. Tyle, że poziom sygnału na tym filtrze spada, czasem nawet znacznie,...

    Początkujący Elektronicy   09 Lut 2020 19:40 Odpowiedzi: 34    Wyświetleń: 1299
  • Analogowy syntezator wideo

    A czy ktoś orientuje sie czy można by to (efekty) wygenerować na PC? Autor wspomina o wplataniu dźwięku w obraz, zapętlaniu filtrów... Czy dało by coś takiego znaleźć, co współ pracowało by z tunerem TV?

    DIY Zagranica   16 Gru 2006 00:13 Odpowiedzi: 9    Wyświetleń: 6922
  • Szerokopasmowy syntezator z wbudowanym VCO.

    ADF4350 pozwala na zaimplementowanie ułamkowego lub całkowitego PLL do syntezy częstotliwości, przy użyciu zewnętrznego filtra oraz źródła sygnału referencyjnego. Układ posiada zintegrowany oscylator sterowany napięciowo (VCO) z wyjściem o częstotliwości od 2200MHz od 4400MHz, dodatkowo wbudowane są układy dzielenia przez 1/2/4/8 lub 16, co pozwala...

    Newsy   18 Lip 2009 12:24 Odpowiedzi: 1    Wyświetleń: 3222
  • Diora AS9461 - pisk z syntezy

    Czy to jest "Czysty" pisk 1kHz czy jakiś brum sieci 50Hz też słychać w tym sygnale? Czy sprawdziłeś jakie napięcia na kołku k10 generuje ci synteza dla skrajnych 87,5 i 108? Czy jest to 3 i 25V? Jeżeli napięcie warikapowe mieści się w tych progach to obstawiam, że coś się mogło stać z kondensatorem (pętlą sprzężenia filtru) ustalającymi stałe czasowe...

    Audio Początkujący   24 Mar 2016 18:11 Odpowiedzi: 11    Wyświetleń: 1980
  • Generowanie szumu cyfrowego i filtracja w FPGA: Xilinx Artix7 czy Altera Cyclone IV?

    Obaj producenci dostarczają IPCore filtra FIR do którego wystarczy wrzucić współczynniki i dostajesz bloczek gotowy do połączenia z resztą układu. Współczynniki możesz wygenerować w matlabie albo kalkulatorach online. Xilinx dostarcza ten IPCore za darmo, w przypadku Altery, jeśli dobrze pamiętam, jest on dostępny tylko do ewaluacji - możesz wgrać na...

    Programowalne układy logiczne   29 Paź 2020 21:37 Odpowiedzi: 8    Wyświetleń: 720
  • Syntezator podstawy, jak to zrozumieć ?

    Wiesz już jak "wygląda" sprawa akustyki - zmiany ciśnienia występują w zależności od częstotliwości dźwięku (im wyższy dźwięk, tym więcej zmian w jednostce czasu) i od głośności (im głośniej tym amplituda zmian większa). Dokładnie tak samo wygląda przebieg analogowego sygnału elektrycznego - zarówno wychodzącego z odbiornika dźwięku (mikrofon) czy źródła...

    Początkujący Elektronicy   26 Maj 2013 18:30 Odpowiedzi: 10    Wyświetleń: 2652
  • Syntezator ADI o niskim jitterze z doskonałymi parametrami dla przetworników

    Firma Analog Devices Inc. przedstawiła syntezator częstotliwości pracujący od 800 MHz do 12,8 GHz, dedykowany do wysokowydajnych ultraszerokopasmowych przetworników danych i aplikacji do synchronizacji. Nowy ADF4377 gwarantuje doskonały stosunek sygnału do szumu, zapewniając ultraczyste źródło zegara do sterowania procesem próbkowania sygnału. Pozwala...

    Newsy   10 Lip 2022 11:16 Odpowiedzi: 0    Wyświetleń: 408
  • Jaki filtr do suba ?

    Jeszcze raz ja.Jako ciekawostkę zamieszczam schemat filtra z syntezatora (syntezatory to moja pasja).Jest to filtr o reg.nachyleniu maxymalnie 24dB reg.napięciem częstotliwości granicznej (cutoff) i przełączanymi charakterystykami (LP BP).Ciekaw jestem co wy na to?Jeszcze jedno filterek ten na pewno nie grzeszy jakością (przy instrumentach nie jest...

    Głośniki i Zestawy Głośnikowe   15 Cze 2003 09:38 Odpowiedzi: 15    Wyświetleń: 4115
  • Nadajnik TV -synteza PAL

    Przesyłam wypowiedź osoby znającej bardzo dobrze temat nad. Tv i uważam, że to najbardziej właściwy adres na odpowiedź do tematu Marek Kolodziejczak napisał: podpowiem DWAserwis ma to w malym palcu twoje zadanie namowic go do wspolpracy budowal { TV ECHO we Wrocku } HA - HA - HA Nadajnik TV jest w sumie bardzo prosty i jednocześnie skomplikowany i wymagający...

    Radiotechnika Serwis   17 Cze 2004 20:53 Odpowiedzi: 3    Wyświetleń: 3048
  • Filtry audio na zewnątrz - jak? (Moog się kłania;-))

    Witam, jestem nowy i za bardzo jeszcze nie zdążyłem się przekopać przez forum, ale nie znalazłem tematu który mnie interesuje, a każdego tematu "w głąb" nie czytałem więc nie wiem czy było to wspomniane gdzieś. Otóż... Czy można zbudować wydajny i dobrze brzmiący zestaw filtrów - podłączyć zewnętrzny sygnał, mieć możliwość kontroli tego, żeby strata...

    Projektowanie Układów   29 Sty 2006 14:15 Odpowiedzi: 1    Wyświetleń: 1265
  • Podłączenie syntezy do Radmora 3031

    Nie neguję tego że można rozwiązać problem stosując "fruwającą masę". Kiedyś sam budowałem tanie układy beztransformatorowe z potrajaczem napięcia sieci do stopnia mocy w nadajniku klubowym. Niestety, obecnie taki sposób zasilania jest już zabroniony. Jednak jeżeli jest możliwość zastosowania wydzielonego zasilacza dla syntezy to na pewno będzie ona...

    Radiotechnika Serwis   09 Gru 2007 10:43 Odpowiedzi: 19    Wyświetleń: 5543
  • Syntezator analogowy polifoniczny

    Dotychczas wszystkie syntezatory monofoniczne które budowałem mogły generować tylko jeden dźwięk=>maksymalnie jeden klawisz wciśnięty czyli wszystkie klawisze były połączone w szereg przy odpowiednio dobranych opornikach.Potem był moduł "sample & hold" i obwiednia ADSR.Następnie były generatory VCA.Potem generatory szumu i filtry dolno,górno i pasmowoprzepustowe,za...

    Projektowanie Układów   05 Lip 2009 17:01 Odpowiedzi: 41    Wyświetleń: 10545
  • Syntezator

    Też przymierzam się do budowy syntezatora. Jestem szczęśliwym posiadaczem syntezatora Korg MS-20 z 1979r. :), a że oprócz muzyki jestem elektronikiem, to muszę w końcu wykorzystać umiejętności do budowy czegoś autorskiego. Mam na strychu starego rozwalonego Korg Poly-800. Pamiętam, że poszło tam na wszystkie układy jakieś 8V (zamiast 5) podczas awarii...

    Projektowanie Układów   31 Sie 2009 01:06 Odpowiedzi: 61    Wyświetleń: 26831
  • Jak zbudować syntezator? Schematy dzielników?

    Jestem tu nowy i np. na wiki jest przedstawiony schemat blokowy prostego syntezatora, lecz to mi nie wystarcza. Chcę zbudować syntezator z: 2x VCO 2x LFO 1x VCF 1x VCA 1x Obwiednia CUTOFF i amplitudy Ale to jeszcze nie wszystko. Chcę nim generować akordy, czyli 3-głosowa polifonia. Słyszałem że żeby zrobić to analogowo, trzeba zrobić np. 3x więcej generatorów...

    Projektowanie Układów   15 Gru 2009 16:04 Odpowiedzi: 1    Wyświetleń: 3645
  • Klon syntezatora analogowego Minimoog VCF

    Autor postanowił zbudować bardzo udany i słynny filtr Minimoog VCF. Dzięki temu filtrowi wielu wykonawców stworzyło wiele udanych piosenek w latach 70tych i 80tych. Celem autora było zaprojektowanie wiernej kopii filtru, które minimalizują użycie dopasowanych tranzystorów. Do zbudowania autor użył tanich tablic tranzystorowych CA3046. Jest to pięć...

    DIY Zagranica   06 Lut 2011 19:48 Odpowiedzi: 0    Wyświetleń: 3581
  • Info na temat: "Miksery i Syntezatory"

    Witam! Jeśli chodzi o syntezatory - w instrukcji obsługi Rolanda Juno-G (do pobrania ze strony) jest całkiem przyzwoicie rozrysowane i opisanie tworzenie przez instrument dźwięku - od generatora próbki, poprzez filtry, LFO itd, pokazane także jest graficznie działanie poszczególnych modułów i wpływ ich konfiguracji i stawień na kształt obwiedni próbki...

    Nagłośnienie Profesjonalne   14 Mar 2011 07:41 Odpowiedzi: 9    Wyświetleń: 2133
  • Sound Lab Mini-synth - mały analogowy syntezator produkcji Gigantora

    Wstęp Pewnego razu, gdy próbowałem zabić nudę, trafiłem na projekt małego syntezatora analogowego - Konstrukcja Parę słów o samym syntezatorku – składa się z: 2x generator sterowany napięciem, 1x generator przebiegów wolnozmiennych, 1x generator obwiedni Attack-Decay, 1x filtr dolno/pasmowoprzepustowy sterowany napięciem, 1x mikser. W skrócie...

    DIY Konstrukcje   22 Gru 2011 15:45 Odpowiedzi: 22    Wyświetleń: 15689
  • Syntezator własnej roboty - kilka pytań

    Witam ! Posiadam troche części z syntezatorów analogowych m.in. Generatory VCO, szumu (białego różowego brązowego ) modulatory filtry obwiednie ADSR procesory efektów Czy da rade zrobić coś na wzór takich syntezatorów w których dane obwiednie generatory łączy się po prostu kablami ? Czy muszą one być jakoś kontabilne ? Myśle nad takim czymś : posiadam...

    Początkujący Naprawy   08 Sty 2012 21:15 Odpowiedzi: 3    Wyświetleń: 1314
  • Stabo XF4012 brak TX -- Synteza

    Jest to układ dodatkowej kompensacji/filtracji komparatora fazy przy TX. Z wyjścia dzielnika referencyjnego wychodzi przy RX 5kHz, zaś przy TX 2.5kHz.

    CB Radio   03 Cze 2012 12:38 Odpowiedzi: 8    Wyświetleń: 2398
  • Mini Syntezator - Czy ma prawo działać?

    Strzałeczka! Mam w planach Mini syntezator będzie składał się z : -klawiatura jedno oktawowa z zwykłych przycisków -przetwornik DAC -ATmega 80c51 -filtr z 4 kondensatorów wybieranych przez przełączniki - i jeżeli potrzebny to wzmacniacz Czy ma prawo to działać? Czy macie jakieś pomysły?

    Projektowanie Układów   03 Gru 2014 19:43 Odpowiedzi: 4    Wyświetleń: 630
  • Pytania odnośnie syntezatorów

    Czy opłaca się kupić droższe potencjometry np. do gitar? Jest to opłacalne? Opłaca się kupować po prostu te dobrej jakości. Wiele osób używa potencjometrów Alpha Taiwan, a firmy w swoich syntezatorach bardzo chętnie stosują wersji 9 mm do PCB. Jak ktoś ma za dużo miejsca na panelu, może używać gitarowych, ja nie widzę potrzeby. Dobrym źródłem jest...

    Początkujący Naprawy   19 Mar 2015 20:17 Odpowiedzi: 2    Wyświetleń: 759
  • Syntezator dźwięku / efekt - MFOS Echo Rockit

    Brakuje mi w tym projekcie jedynie dwóch rzeczy: generatora białego szumu i MIDI IN. A poza tym projekt zacny. Z szumem zgodzę się, trochę brakuje (z drugiej strony mam już parę syntezatorów z filtrowanym szumem więc kolejny mi niepotrzebny). Co do MIDI, wymagałoby to znacznego skomplikowania układu - wtedy prościej byłoby już zrobić implementację...

    DIY Akustyka   21 Cze 2015 07:38 Odpowiedzi: 17    Wyświetleń: 16416
  • Polifoniczny syntezator MIDI na ARMie

    Autor poniższego projektu stworzył kilka miesięcy temu syntezator MIDI w oparciu o 8-bitowy mikrokontroler Atmel Xmega32E5 i kodek audio. Pewne ograniczenia tego mikrokontrolera spowodowały, że postanowił on nieco rozwinąć projekt opierając się na 32-bitowym mikrokontrolerze ARM Cortex M0. W efekcie powstał polifoniczny syntezator, z którym możemy...

    DIY Zagranica   16 Gru 2015 22:04 Odpowiedzi: 0    Wyświetleń: 5214
  • Moduł MIDI CV analogowego syntezatora muzycznego

    W muzycznym syntezatorze analogowym generatory wytwarzające przebiegi sterowane są napięciem (CV) natomiast układy obwiedniowe (ADSR) sterujące wzmacniaczem (VCA) i filtrem (VCF) - impulsami bramkującymi (GATE). Układ wytwarzający CV i GATE może być sterowany komunikatami MIDI otrzymywanymi z innych źródeł np klawiatury MIDI, sequencera czy komputera....

    DIY Poczekalnia   01 Maj 2016 16:47 Odpowiedzi: 2    Wyświetleń: 7044
  • Syntezator Modularny - DIY - Pytanie

    Jak masz na pokładzie dwa sekwencery, to nie musisz się przejmować, że nie umiesz grać. Ja też nie umiem, mam launchpad i abletona i to w zupełności wystarczy żeby dźwięki nie bolały (albo bolały - bo tak ma właśnie być w harshnoise). Moje zainteresowanie w kierunku samodzielnej budowy czegoś nie wynika z fascynacji elektroniką a z fascynacji dźwiękami....

    Początkujący Elektronicy   11 Sie 2017 07:36 Odpowiedzi: 25    Wyświetleń: 3252
  • Kolumna aktywna do syntezatora - dobór modułów wzmacniacza i zasilania

    Hej! Mam kilka pytań odnośnie składania prostego nagłośnienia. Spróbuję zwięźle. Mam jeden głośnik gitarowy (Celestion seventy 80, 8ohm) z odzysku. Postanowiłem zrobić z niego kolumnę do nagłośnienia syntezatora (sygnał liniowy). Ponieważ głośniki gitarowe mają zawężony zakres w dole pomyślałem, żeby dokupić jakiś tani chiński niskotonowy z allegro,...

    Głośniki i Zestawy Głośnikowe   16 Gru 2019 03:57 Odpowiedzi: 4    Wyświetleń: 603
  • Jaki mikser do jednoczesnego podłączenia dwóch syntezatorów?

    Dzień dobry wszystkim. Proszę o pomoc w wyborze pierwszego miksera. Posiadam syntezatory Korg Monotribe oraz Monotron Dealay. Chcę mieć dealay na osobnej ścieżce tak aby przechodził on przez Monotribe-a (filtrując sygnał) lub działał osobno. Wiem że potrzebuję wykonać pętle na łączach ale nie jestem pewien jaki mikser wybrać abym mógł to zrobić. Mam...

    Audio Estradowe, Sceniczne, Studyjne   09 Sty 2020 19:41 Odpowiedzi: 3    Wyświetleń: 831
  • Zasilacz transformatorowy 12V do syntezatorów i modułów dżwiękowych

    Witam, sam mam sporo instrumentow i wymagaja one zasilaczy 12V, zgadzam sie ze w wiekszosci w srodku jest dalsza czesc zasilacza/stabilizatory, ale zauwaz ze wymagaja one zapewne 12V z tolerancja moze kilku V a ty chcesz tam podac 21V bo to bedziesz mial po filtracji. Czy one to wytrzymaja - musisz sprawdzic przed uzyciem Pozdrawiam

    Początkujący Elektronicy   08 Kwi 2022 17:37 Odpowiedzi: 13    Wyświetleń: 432
  • Synteza PLL >Proszę o pomoc<

    Witam wszystkich. Chciałbym was prosić o pomoc. Chcem zrobić odbiornik SSB. Mam już 2x ne612. do tego filtr drabinkowy 4MHz (4 elementowy) Mój problem to generator VFO... :cry: A DOKłADNIEJ JEGO ZTABILNOŚĆ. nigdy nie projektowałem układów z syntezą pll. ale układy te mają dobre parametry co mnie bardzo zachęciło. Proszę o pomoc generator syntezy powinien...

    Radiotechnika Serwis   02 Sty 2006 12:24 Odpowiedzi: 6    Wyświetleń: 2331
  • Odbiornik na kilka MHz + synteza

    Witam. mam takie pytanie związane z układem p.cz 21.4. Mam takowy, wylutowany z murzynka, czy moge go zastosować do schematu np :syntezy TSA6057 .Czy musze złożyć jakiś blok tak jak jest w radmorach blaszakach.A jezeli musze poskładać jakiś układ z urzycie tego filtra 21.4.to prosze o jakiś działający schemat . Pozdrawiam

    Radiotechnika Serwis   13 Lut 2006 15:06 Odpowiedzi: 11    Wyświetleń: 3827
  • Schemat sprawdzonego nadajnika FM z syntezą PLL

    Na tej stronie stronie jest schemat Nie składałem go w całości, ale używam dokładnie takiego samego generatora jaki tam jest, i działa bardzo dobrze. Co do syntezy to przy kwarcu 4mhz jest krok 65 KHz, więc niefajny, a kwarc 3,2MHz przy którym mamy krok 50KHz, jest trudny do zdobycia. Napisałem soft na procek 2313 w którym jest przestrajanie typu góra-dół...

    Radiotechnika Serwis   16 Mar 2006 00:01 Odpowiedzi: 6    Wyświetleń: 4653
  • Synteza DDS. Co i w którym miejscu mam poustawiać? Parametry.

    Po pierwsze źle wpisałeś ustawienia dds. Skoro masz generator 20MHz i syntezę ad9851 to prawdopodobnie trzeba włączyć powielanie częstotliwości generatora, dlatego na początku powinno być 6. Dla generatora 20MHz : 6020000000. Co do wartości p.cz, poprzednio podałem wartość orientacyjnie w stosunku do częstotliwości filtru p.cz jaką podałeś. Aby bfo...

    Radiotechnika Serwis   22 Wrz 2006 12:59 Odpowiedzi: 14    Wyświetleń: 2973
  • Synteza SAA1057

    zależy jaki jest prąd ustawiony na syntezie SAA1057 i jaka stała czasowa filtru w syntezie.zrobiłem nie jeden nadajnik na tej syntezie i jeśli dobrze podobierasz elementy R/C to nie będzie tak szybko nadrabiać i spokojnie mozesz wymodulować go do 100KHz bez dzwonienia i innych efektów ubocznych w dodatku możesz modulować go na tych samych diodach na...

    Radiotechnika Serwis   12 Wrz 2007 15:33 Odpowiedzi: 13    Wyświetleń: 5832
  • Synteza mowy LPC + FIR. Zamiast mowy jest szum.

    (at)piter_2000 Czyżby teorię sygnałów? ;) A co do pytań: Ad. 1 Tak - na wejściu masz dokładnie takie same dane jak wewnątrz pliku wav (8/16bit, 8 kHz). Analizie poddajesz każde 20 ms z danych wejściowych - dla 8 kHz jest to dokładnie 160 próbek (50 analizowanych fragmentów daje sekundowy dźwięk). Ad. 2 Dzielimy na 160 próbek, ale uwzględniamy dodatkowo...

    DSP i Transmisja   14 Sty 2007 14:15 Odpowiedzi: 9    Wyświetleń: 3026
  • Synteza częstotliwości w nadajniku

    Sprawa jest prosta, popatrz na schemat blokowy a jak dokończysz czytanie tego co napiszę zrozumiesz o co chodzi. W nawiasach podałem odnośniki do punktów na schemacie blokowym. Załóżmy że chcesz mieć krok syntezy (raster) 10 kHz. Z generatora kwarcowego, zwykle wysoko stabilnego, wychodzi częstotliwość wzorcowa 10 kHz (1). Następnie trafia do generatora...

    Radiotechnika Serwis   14 Mar 2007 10:28 Odpowiedzi: 15    Wyświetleń: 3908
  • synteza pll pomocy

    Syntezy z mieszaniem pomocniczym są fajne ale trudniejsze do uruchomienia i wykonania a w szczególności w takim układzie jak na obrazku powyżej ze względu na dużą częstotliwość produktów mieszania. Trzeba jeszcze dołożyć filtr dolnoprzepustowy przed scalakiem PLL. Takie układy spisują się znakomicie jeśli częstotliwość jest "obniżona" do kilku MHz bo...

    Radiotechnika Serwis   12 Maj 2007 07:30 Odpowiedzi: 17    Wyświetleń: 4563
  • Prosty cyfrowy syntezator dźwięku

    Dorobić regulowane filtry na wyjściach dwóch generatorów a potem mnożyć te przebiegi, to już by było ciekawiej.

    DIY Zagranica   04 Maj 2008 09:55 Odpowiedzi: 10    Wyświetleń: 11968
  • INTEK M 110 PLUS nie stroi synteza

    Witam wszystkich. Mama taki problem - nie stroi synteza w Inteku M110 plus. Filtrem syntezy można ustawić żądaną częstotliwość wyjściową, a po zmianie kanału niema zmiany częstotliwości. Może już ktoś się spotkał z takim przypadkiem?

    Radiotechnika Serwis   07 Paź 2008 20:14 Odpowiedzi: 4    Wyświetleń: 2213
  • Syntezator Korg Ms-10 klon

    Witam Dzieki za te dane. Brakuje mi jeszcze podlaczenia klawiatury. Ze schematu blokowego wynika, ze to jest gdzies wpinane w CV. Moglbys Kolego jeszcze udostepnic rozmieszczenie elementow Na plytkach wlasnie do klawiatury? Bo tak juz jest plyta glowna, plytka filtru no i tylko brakuje tej. Co do joysticka to mysle, ze on wcale nie jest potrzebny bo...

    DIY Konstrukcje   11 Paź 2008 23:10 Odpowiedzi: 22    Wyświetleń: 11922
  • Detektor barw z syntezatorem mowy - prosze o pomoc w budowie

    Można rozpoznawać kolor również przez analizę zawartości składowych RGB. Taki sposób moim zdaniem byłby prostszy w realizacji. Można zbudować detektor RGB, czyli 3 fototrazystory oraz filtry o kolorach czerwony, zielony, niebieski? Odpowiednie filtry barwne można wykonać z koła barw od projektora multimedialnego lub z filtrów stosowanych w reflektorach...

    Optoelektronika   23 Gru 2008 01:06 Odpowiedzi: 8    Wyświetleń: 2216
  • Mój pierwszy Syntezator

    Można dodać do układu: 1. Dzielnik na licznikach 4520 i sumator na potencjometrach co pozwoli uzyskać różne brawy nie tylko "prostokątne" 2. Zastosowanie 4017 i sumatora potencjometrycznego pozwoli na generowanie np. przebiegu składającego się z 10 schodków o różnej amplitudzie (można uzyskać różne barwy 3. Można zrobić "detuner" na dzielniku 40103...

    Początkujący Elektronicy   26 Lut 2009 16:51 Odpowiedzi: 6    Wyświetleń: 2506
  • Różnica pomiędzy syntezą PLL a DDS

    Podszkoliłem się i mam pytanie (dzięki sgyver1) Wyjście z DDS tak, czy inaczej musi być filtrowane Na rysunku poniżej napisano xtal filter czyli rozumiem filtr wąskopasmowy. Tylko, jak go przestrajać? Nie słyszałem of filtrze wąskopasmowym przestrajanym w szerokim zakresie (z wyjątkiem cyfrowych). Może ktoś by mnie oświecił?! Nie przystrajasz go, to...

    Radiotechnika Serwis   01 Lut 2010 11:09 Odpowiedzi: 24    Wyświetleń: 15246
  • Jak prawidłowo stroić syntezę C5121

    Dokładnie C5121 jest Nippon'a. Pisałem do nich o datasheet'a ale milczą na razie. Dzięki za pomoc. A pytanie o strojenie syntezy wzięło się stąd że przy Dx'ach korespondenci twierdzili ze lepiej mnie słychać w FM niż w AM chodz radio było w AM ( co prawda przerabiane z FM na AM tutaj: ). Nie wykluczam że zachodzi zjawisko remodulacji na zboczu filtra...

    Radiotechnika Serwis   29 Kwi 2009 09:22 Odpowiedzi: 5    Wyświetleń: 3390
  • [C]Generator sinusoidalny-sprawdzenie schematu, dobór filtru

    Użyteczny kalkulator pokazujący kształt napięcia z DDS w zależności od częstotliwości taktowania syntezatora DDS:

    Mikrokontrolery AVR   12 Maj 2009 09:34 Odpowiedzi: 7    Wyświetleń: 2664
  • Sommerkamp TS-340 DX synteza "wisi"

    Dzięki kolego. Właśnie tego szukałem. Poprostu czasem nie mam nawet chwili żeby poszukać (a próbowałem). Teraz mogę się zabrać za ten sprzęt. No tak... Wszystko byłoby pięknie gdyby był to schemat od tego radia. W radiu które posiadam są: -synteza 3001-7816 (brak datasheet) -wzmacniacz m.cz AN315 -trafo modulatora (na schemacie nie ma w ogóle) -dwie...

    Radiotechnika Serwis   01 Lip 2009 21:12 Odpowiedzi: 2    Wyświetleń: 2453
  • Ciekawy syntezator z modułem Arduino

    AVE... Poszukaj materiałów o NE555 - jest tego masa. Do tego możesz jeszcze dodać różne inne układy: filtry, modulatory(AM i pierścieniowe[Ring modulation]) i miksery... Troszkę wysiłku, troszkę samodzielności i coś wymyślisz z pomocą dobrego pana Google...

    DIY Zagranica   04 Wrz 2009 01:52 Odpowiedzi: 16    Wyświetleń: 11632
  • Filtr blokowy do zastosowań muzycznych potrzebny

    Witam. Potrzebuję dość specyficznego urządzenia do zastosowań audio, a dokładniej do produkcji muzyki. Oto opis: Urządzenia składa się z trzech bloków a każdy z bloków składa się z filtrów dolno- i górno-przepustowego, 24db/oct z regulacją częstotliwości odcięcia (cutoff) i rezonansu (jak np. w syntezatorach). Urządzenie ma dwa wejścia (poziom liniowy)...

    Ogłoszenia Elektronika   12 Lis 2009 09:46 Odpowiedzi: 0    Wyświetleń: 621
  • Filtrowanie drgań styków - wykrywanie zbocza

    hehe, ...idee można podłapać, ale: Ps. Program pisany w C dla avr ATMega16 A tak po za tym: state <= ‚1’; when „1111” => Narzędzie od syntezy nie uznają tego (; Znaczy się tych znaczków ‚ „, bo wykraczają poza standard ASCII.

    Mikrokontrolery   18 Wrz 2010 18:16 Odpowiedzi: 6    Wyświetleń: 2923
  • Behriger CX 2310 Filtr subsoniczny dla subwoofera

    mam CX3400 i powiem tak - włączenie subsonica 25Hz przy słuchaniu muzyki z mp3 czy syntezatora jest niesłyszalne. ale jeśli już jest to oczywiście należy go włączyć i to na obydwu kanałach bo na subout wychodzi sygnał zsumowany (w cx2310 bo w cx3400 jest wybór między stereo a zsumowanym). z kolei w korektorze mam subsonik 40Hz i praca tego jest słyszalna...

    Nagłośnienie Profesjonalne   15 Sty 2011 19:37 Odpowiedzi: 3    Wyświetleń: 1136
  • Prosta synteza mowy - php i mp3

    To nie jest niestety takie proste. Litery i jednostki akustyczne dwie różne rzeczy. Odtwarzanie dźwięków odpowiadających poszczególnym literom da efekt literowania i filtrowanie, łączenie, wycinanie,... nie wiele tu da. W najprostszym przypadku należałoby przekształcić zapis literowy wyrazów w zapis podstawowych jednostek akustycznych i dopiero je odtwarzać....

    Programowanie   05 Lut 2011 10:47 Odpowiedzi: 2    Wyświetleń: 1233
  • Przestrojenie radia z syntezą

    Podpowiem jeszcze koledze rr4 , bo może nie wie. Warto pobawić się szerokim FM (WFM) w paśmie 137MHz możesz odbierać sygnały satelit pogodowych NOAA w kolorze. Fajna zabawa. Temat tu na elektrodzie dość szeroko opisany. Słuszna uwaga, ale znów dla użycia: samej przestrojonej głowicy luzem + własna dalsza część odbiornika, albo w radiu ze strojeniem...

    Radiotechnika Początkujący   03 Kwi 2011 14:40 Odpowiedzi: 13    Wyświetleń: 4887
  • Syntezator z pętlą PLL z podziałem całkowitym i ułamkowym.

    ADF4150 z nowej oferty Analog Devices pozwala na implementację pętli PLL z podziałem przez N, gdzie N może być całkowite bądź może być ułamkiem. Ukłąd wymaga ponadto generatora sterowanego napięciem, filtra w pętli sprzężenia zwrotnego i oczywiście częstotliwości odniesienia. Częstotliwość pochodząca z generatora może być podzielona przez 1/2/4/8/16,...

    Newsy   13 Sty 2012 17:33 Odpowiedzi: 0    Wyświetleń: 1026
  • Syntezator MIDI, SID 8580 [8051][Asembler]

    Nie trzeba, mam też te tabelki. Na razie w obsłudze MIDI mam tylko zczytanie danych z uarta i umieszczenie ich w 3bajtowym buforze, nic więcej. ADSR dla filtrów nie zamierzam robić. Na razie mam tylko obsługę note on pisaną dosłownie na kolanie, tylko żeby sprawdzić, czy wszystko działa. Tak, domyślam się jak to brzmi. W moim rozwiązaniu to jednak nie...

    Mikrokontrolery   03 Maj 2012 14:46 Odpowiedzi: 48    Wyświetleń: 13129
  • Mini 1-bitowy syntezator Gigantora

    Wstęp W skrócie: to kieszonkowy syntezator dźwięku z automatem perkusyjnym i kompozytorem „melodii”. Koncepcja tego urządzenia powstała zaraz po stworzeniu syntezatora Atari Punk Console – zaintrygowały mnie syntezatory z minimalistycznym interfejsem o ograniczonych możliwościach (np. Nebulophone, Bleep Drum, Standuino fraAngelico)....

    DIY Konstrukcje   05 Sie 2017 23:35 Odpowiedzi: 28    Wyświetleń: 20184
  • alan 199 - synteza sie zawiesza

    Znajdź schemat Twojego CB np. na początek posprawdzaj napięcia zasilające wokół syntezy. Miałem przypadki że uszkodzeniu ulegały cewki, filtry w metalowych obudowach ekranujących. Ze względu na małe wymiary nawijane są one często cienkim drutem, nieraz w jednej obudowie siedzi też kondensator SMD. I nieraz zdarza się że wskutek drgań (jak w samochodzie)...

    CB Radio   12 Mar 2014 08:08 Odpowiedzi: 11    Wyświetleń: 2139
  • President Grant MK1 - Wymiana filtra MuRata HT455 na MuRata IT455

    Chodzi o to żeby radio było odporniejsze na przesiewy z piątek, które podczas propagacji dają się bardzo we znaki. Często jest tak że "Moskwa" zamyka mi skalę na S-metrze. a ogólnie radio sporo słyszy, czasem do tego stopnia że mało słychać polaków a więcej obcojęzycznych transmisji... Chcę nieco zawęzić odbiornik i cieszyć się większą selektywnością,...

    CB Radio   20 Lip 2014 13:42 Odpowiedzi: 11    Wyświetleń: 4533
  • Moduł CV GATE analogowego syntezatora muzycznego

    --> anders11 Potencjometr, który nazwałem pitch to nie jest TEN pitch . Bardziej zręcznie byłoby go nazwać Master Tune . Pitch w pokrętle wheel będzie zainstalowany osobno z tym, że ta Yamaha go nie miała. czasem na A.. pojawiają się takie elementy z rozbiórki ale w nieakceptowalnej cenie. --> Gigantor Twoja teoria może być prawdziwa. Jak sobie podliczyłem,...

    DIY Konstrukcje   27 Mar 2016 12:20 Odpowiedzi: 5    Wyświetleń: 5238
  • Mega8 - Sterownik syntezy TSA6057 - Bascom i 2x16

    Cześć! Na elektrodzie znalazłem gdzieś program $regfile = "m8adef.dat" $crystal = 8000000 Config Pind.0 = Input Portd.0 = 1 X_gora Alias Pind.0 Config Pind.1 = Input Portd.1 = 1 X_dol Alias Pind.1 Config Pinb.2 = Input Szift Alias Pind.2 Config Sda = Portc.4 Config Scl = Portc.5 Dim Temp As Long Dim Freq As Long Dim Freq_temp As Long Dim Temp2...

    Mikrokontrolery Początkujący   31 Maj 2016 05:41 Odpowiedzi: 10    Wyświetleń: 1284
  • Synteza dwóch rozwiązań przy wyszukiwaniu nazw

    A jak sądzisz kolego co oznacza komentarz przed tą prywatną funkcją FiltrZaawan: " The VBA AdvanceFilter method will NOT extract from a workbook that is SHARED" ??? :not:

    Obsługa oprogramowania   26 Sty 2019 10:44 Odpowiedzi: 2    Wyświetleń: 519
  • Syntezator - 45 przycisków, 38 potencjometrów czyli jak to multipleksować itd?

    Nie bardzo rozumiem co chcesz wyczarować. Nie planujesz MCU, to co będzie obsługiwać te wszystkie sygnały, z selektorami MUXów włącznie? Jeśli to ma być naprawdę analog, gdzie masz różnego rodzaju generatory, filtry itp. to co Ty chcesz multipleksować? Jak już kolega wyżej napisał, każdy element jest wtedy w konkretnym miejscu na schemacie i wpływa...

    Początkujący Elektronicy   29 Maj 2018 19:45 Odpowiedzi: 31    Wyświetleń: 1383
  • Syntezator Shmitt Trigger po podłączeniu do zasilacza i wzmacniacza buczy

    Faktycznie zmiana zasilacza na transformatorowy zdecydowanie podziałał. Dodatkowo dodałem wg. sugestii 2 kondensatory elektrolityczne (ale o parametrach 4,7uf i rezystor 700r). Wydaje mi się że brzmi klarowniej. Tak czy siak te dwa kondensatory i rezystor mają filtrować napięcie, ale zupełnie nie mam pojęcia czym się kierować przy doborze wartości.

    Początkujący Naprawy   20 Paź 2019 18:36 Odpowiedzi: 8    Wyświetleń: 231
  • Prosty kontroler CV/Gate (nie MIDI) do syntezatora

    Nie do konca sie zgodze, MIDI nie do konca ma tak duzo wspolnego z CV: - CV steruje generatorami VCO czasem kilkoma elementami toru syntezy jak VCF/VCA, a midi DCO oraz calym torem syntezy. Pozdrawiam Tu nie ma chyba większego sensu porównywać, bo to są dwie różne bajki .. CV jest prostym i mówiąc bez ogródek najprostszym analogowym sposobem sterowania...

    DIY Początkujący   18 Maj 2021 20:50 Odpowiedzi: 29    Wyświetleń: 4218
  • [Sprzedam] Zbiór układów w.cz: synteza, mieszacze, demodulatory, tranzystory

    Witam, Posprzątałem swój warsztat, pragnę zaoferować to, czego nie będę już potrzebował: Moduł Adafriut SI5351 (spalony jeden punkt lutowniczy na wyjściu sygnału - bez znaczenia, wyjście na goldpinie) - 10 zł US TCA440, UL1203, A244D - 10 szt - 4 zł/sztuka, nówki SAA1057, wylut - 5 zł UL1200 wylut - 6 zł UL1211 wylut - 3 zł UL1244 wylut - 3...

    Retro Bazar   19 Lis 2019 07:36 Odpowiedzi: 0    Wyświetleń: 399
  • Jaką listwę przepięciową z filtrem RFI/EMI wybrać do studia?

    Witam. Posiadam domową pracownię muzyczną. Z racji tego, że o prądzie wiem tylko tyle, że nie ma z nim żartów, zapytuję mądrzejszych. Jaka listwa będzie najlepsza? Z tego co wyczytałem to koniecznie musi mieć filtr RFI/EMI z dużym tłumieniem. Potrzebuję podłączyć dużo urządzeń (kompresory, pogłosy, syntezatory, sampler, komputer, monitory studyjne,...

    Początkujący Elektronicy   26 Mar 2020 17:38 Odpowiedzi: 3    Wyświetleń: 570
  • Jak zbudować prosty syntezator monofoniczny?

    Budowa tego ustrojstwa ma służyć tylko i wyłącznie mojej frajdzie i nabraniu doświadczenia. Mówicie, że ten układ to tylko generator. Zgoda, aczkolwiek czym innym jest oscylator w syntezatorze jak generstorem funkcji. Po co filtry? Chce mieć chociaz namiastkę kształtowania dźwięku na tym podłożu. Jeszcze co by się przydalo to możliwość ustawienia obwiedni.

    Początkujący Elektronicy   22 Paź 2021 12:26 Odpowiedzi: 8    Wyświetleń: 351
  • Synteza DDS na układzie SI5351A dla radyjka KF: Procedura w Bascomie AVR (40-70MHz)

    Nie wiem czy dobrze trafiłem z forum ale mam taki problem chcę zbudować syntezę dds do radyjka kf na układzie SI5351A . Jak zmusić ten układ do ustawienia żądanej częstotliwości Np. od 40 do 70MHz . Chodzi mi tylko o samą procedurę w bascomie z resztą sobie poradzę . Wcześniej zbudowałem synteze na bazie układu 9850 synteza do 40 MHz .W Internecie udało...

    Inne FAQ   16 Lis 2022 11:53 Odpowiedzi: 2    Wyświetleń: 591
  • Filtr potrzebuje!

    no witam po dlugiej przerwie (w tym temacie) zestroilem wreszcie to wszystko co skladalem na tych zaprojektowanych przeze mnie plytkach, dopalka tylko 3 stopnie, w pierwszym najlepiej sie sprawdzil (z tego co posiadalem) BFAP59 by CEMI, w drugim robil BD139 tez CEMI, a w trzecim robil 2sc3807. efekty bardzo dobre, jak dla mnie powalajace, okazalo sie...

    Radiotechnika Serwis   18 Paź 2003 19:06 Odpowiedzi: 22    Wyświetleń: 4765
  • Nadajnik FM na syntezie...

    Witam, no tak Veronica :) Zabezpieczcie się w dobre filtry dolnoprzepustowe, ponieważ ten układ generatora wytwarza dość dużo charmonicznych, Pozdrawiam!

    Radiotechnika Serwis   10 Mar 2004 13:39 Odpowiedzi: 40    Wyświetleń: 3959
  • Synteza bez mikroprocesora

    tuner własnej produkcji, ale tu ważna jest głowica: a jest typowa- na 3 tranzystorach, z mieszaczem obcowzbudnym, wzm. wcz z dwuobwodowym filtrem pasmowym, przestrajana varicapami ( Uvar= 3-25V). Wyjscie sygnału heterodyny wykonane poprzez dodatkowe zwoje na cewce heterodyny...

    Radiotechnika Serwis   19 Mar 2004 21:50 Odpowiedzi: 4    Wyświetleń: 1190
  • Strojenie filtru pll (tsa6057)

    Ja zbudowałem swój syntezer na saa1057 na podstawie schematu czecha. Polecam. . Czy mogę prosić o jakieś bliższe namiary na ten "czeski" schemat. Zastanawiam się nad syntezą na 2m i może by mi pomógł w rozważaniach Wykonałem syntezę na SAA1057, chodzi od ponad roku w R3033 tzw"murzynek" .Mogę podesłać materiały.

    Radiotechnika Serwis   10 Maj 2004 13:46 Odpowiedzi: 17    Wyświetleń: 3591
  • Drabinkowy filtr kwarcowy

    metoda wydaje się być logiczna. Ale biorąc pod uwagę komplikację układu, myślę, że lepszym rozwiązaniem jest zbudowanie filtru drabinkowego przystosowanego od razu do SSB. Co do waloru poznawczego, to bawiłem się kiedyś filtrem PP9 i chętnie bym go zastosował, ale mnei nie stać... A układy swojego transceivera nie będękomplikował, bo ma to być coś na...

    Radiotechnika Serwis   23 Sty 2007 21:03 Odpowiedzi: 23    Wyświetleń: 12140
  • synteza pll czym zastąpic ??

    no tak mówie o szerokim FM-ie.Tylko jezeli ten odbiornik odbierał WFM perfekt jak mówisz Czarutek to po co autor tego projektu dorobił sobie dodatkowe filtry własnie na WFM.Widac to na zdjęciu na początku strony projekty.Filtry są przełączane za pomoca styczników.

    Radiotechnika Serwis   13 Lis 2006 04:41 Odpowiedzi: 36    Wyświetleń: 10215
  • nadajnik - driver - filtr

    Witam. Tak na moje oko to nadajnik(generator) 0.2W może być zamały do wysterowania wzmacniacza 20W. Szkoda że nie ma schematu tego nadajnika (generatora), bo to jedna z najważniejszych rzeczy w kompletnym NADAJNIKU. A co przez to rozumiesz, że ładnie działa. Generator powinien być jak najbardziej stabilny, nie może rozjeżdżać z częstotliwością (odpowiednie...

    Radiotechnika Serwis   06 Sie 2005 17:24 Odpowiedzi: 19    Wyświetleń: 2744
  • Jaka synteza do czestotliwości 202 MHz

    Czy zwiększyć moc ... Więc zrobię maksymalną i rozłożę temperaturę pomiędzy oba 2N3866 tak, żeby bez radiatorów się nie gotowały, a zmniejszyć ją będziesz mógł zmianą któregoś elementu. ... gdyby była antena o której mówisz ... Moim zdaniem, gdyby antena była niegorsza od tych moich, to z tak dobrym odbiornikiem w szczerym polu szłoby spokojnie na...

    Radiotechnika Serwis   16 Lis 2005 17:10 Odpowiedzi: 71    Wyświetleń: 7391
  • Filtr FIR w FPGA

    witam trzeba zacząć od tego czy kolega wogóle zna vhdl-a, czyli język projektowania sprzętu.. bo jeśli tak to problem jest dość prosty zakładając że kolega zna algorytmy filtrów trzeba je tylko napisać odpowiednio w sposób syntezowalny i już ... a jesli projekt będzie syntezowalny to nie ma znaczenia czy użyjemy xilinxa czy maxa bo są to tylko narzędzia...

    Mikrokontrolery   23 Sty 2006 19:54 Odpowiedzi: 13    Wyświetleń: 3489