REKLAMA

system verilog

Znaleziono około 109 wyników dla: system verilog
  • BlueSpec,System Verilog - BlueSpec - z czym to się je?

    Czołem, ostatnio szukając jakiejś pomocy w przyśpieszeniu projektowania układów programowalnych oraz lepszemu ogarnięciu zarządzanego projektu natknąłem się na BlueSpec - czyli jak rozumiem, język projektowania układów programowalnych "wysokiego" poziomu, który kompiluje się do Veriloga. Widzę, że na MIT tego uczą. Chciałem was zapytać czy warto? i...

    Programowalne układy logiczne   26 Cze 2013 00:19 Odpowiedzi: 0    Wyświetleń: 1332
  • Verilog lub VHDL - programator, soft, od czego rozpoczać dla CPLD

    Czemu CPLD? Lepiej wybrać FPGA. Co do reszty pytań wszystko zależy czy wybierzesz Alterę czy Xilinx'a. Najlepiej przejrzyj sobie płytki startowe zobacz która by odpowiadała, płytki w większości przypadków mają w zestawie programator. A co do języka wybór należy do Ciebie, choć zamiast Verilog lepiej wybrać SystemVerilog.

    Programowalne układy logiczne   19 Paź 2016 07:29 Odpowiedzi: 12    Wyświetleń: 3135
  • [Verilog] funkcja sin(x), jak wykonać syntezę?

    średnio pomoże, bo nie programuje:) zajmuje się sieciami i systemami, dlatego ten Verilog to dla mnie magia. chętnie się odwdzięczę, jeśli ktoś by był stanie to przestawić:) pozdrawiam Daniel

    Programowanie   28 Maj 2008 14:39 Odpowiedzi: 4    Wyświetleń: 966
  • REKLAMA
  • System Verilog i struct. Connection type is incompatible with port.

    Zrobiłem sobie plik define w którym mam: typedef struct { bit clk; bit rst; } s_common_in; potem mam plik z modułem w którym mam: module pc_stage( input s_common_in common_in, ... No i w końcu użycie w kolejnym pliku: s_common_in common_in; assign common_in.clk = clk; assign common_in.rst = rst; pc_stage #(.IU_INDEX(IU_INDEX)).....

    Programowalne układy logiczne   14 Gru 2011 23:01 Odpowiedzi: 8    Wyświetleń: 2135
  • Verilog - Podstawowe zagadnienia

    Książek typowo do Veriloga raczej ni ma, a przynajmniej ja przyjemności nie miałem. Verilog to nie jest język programowania, tylko opisu sprzętu. ActiveHDL na początek się nada, aczkolwiek jest uciążliwy w wersji studenckiej. Można w nim modelować tak w oparciu o Veriloga jak i VHDL. SystemC chyba zresztą też. Co do preferencji pracodawców - zależy...

    Programowalne układy logiczne   30 Maj 2013 22:46 Odpowiedzi: 5    Wyświetleń: 3210
  • SystemC - UART, szukam kodu lub wsparcia

    Witajcie, muszę stworzyć w SystemC UARTa a dokładniej mówiąc część RX z niego. Od kilku dni kopię po internecie jak krecik z bajki i jedyne co wykopałem to wielką stertę śmieci... Szukam kodu w SystemC (lub czymś innym co mógłbym przerobić). Znalazłem kilka lecz wszystkie albo nie działały, albo nie miały sensu, albo symulacja się krzaczyła i pokazywała...

    Programowanie   05 Cze 2016 18:23 Odpowiedzi: 0    Wyświetleń: 585
  • machxo2/verilog - nie działają połączenia wire między licznikami - początkujący

    Tzn. różnią się one tylko in- i outputami w nagłówku? To może chodzi o przyjętą wersję Veriloga, nie znam softu Lattice'a i ichniej implementacji tego, ale spróbuj przestawić np. na Verilog-2001 albo jeszcze lepiej na SystemVerilog. EDIT: Bo rozumiem, że w pin plannerze czy Lattice'owskim odpowiedniku takowego masz wyprowadzenia odpowiednio przypisane?

    Programowalne układy logiczne   30 Mar 2013 00:09 Odpowiedzi: 15    Wyświetleń: 2862
  • REKLAMA
  • [Praca] Starszy Programista Systemów Wbudowanych (Bezzałogowe Systemy Latające)

    Aerialtronics , międzynarodowa firma z siedzibą w Hadze (Holandia) projektująca i produkująca bezzałogowe systemy latające poszukuje obecnie kandydatów na stanowisko Senior Embedded Software Design and Developer with strong FPGA experience. Osoby zainteresowane szczegółami oraz przesłaniem dokumentów aplikacyjnych (w j. angielskim) proszone są o kontakt...

    Ogłoszenia Elektronika   02 Maj 2014 06:50 Odpowiedzi: 0    Wyświetleń: 876
  • MyHDL jako alternatywa dla VHDL i Verilog - opinie, doświadczenia, przyszłość

    Cześć chłopaki. Spotkałem się z czymś takim jak MyHDL. tu jakiś kurs Czy używaliście tego. Jeśli tak to czy polecacie ? i co o tym sądzicie. Ma to przyszłość? Ale MyHDL z drugiej strony to i tak konwertuje do vhdl lub veriloga. Ja myślę że vhdl to może nawet prostszy. I kiedy go tworzono to ci mózgowcy chyba wiedzieli co robią i robili go tak żeby był...

    Programowalne układy logiczne   30 Sty 2021 22:59 Odpowiedzi: 1    Wyświetleń: 717
  • Verilog czy VHDL? Czego używacie? Którego się nauczyć?

    Witam, Proponuję Ci się skupić na jednym: Verilogu. Dlaczego? - bo jest podobny w składni do C (jak to ktoś zauważył) - jak dla mnie osobiście jest bardziej intuicyjny od VHDLa - umożliwia wywoływanie funkcji C/C++ (PLI, VPI) - łatwiej w przyszłości będzie Ci się przesiąść na SystemVerilog Pozdrawiam, Apacz

    Programowalne układy logiczne   26 Sie 2006 05:58 Odpowiedzi: 8    Wyświetleń: 9465
  • Użycie innego modułu w module, Verilog

    Witam. Od razu zaznaczę, że jestem bardzo początkujący w Verilogu. Potrzebuję w jednym module użyć innego modułu, który zamieni zmienną w postaci binarnej na postać w systemie resztowym RNS. Moduł do konwersji mam gotowy, tyle że nie bardzo ogarniam jak go użyć . Oto listingi kodu, to jest główny moduł: [syntax=verilog] `timescale 1ns/1ps ////////////////////////////////////////...

    Programowalne układy logiczne   30 Maj 2013 08:57 Odpowiedzi: 1    Wyświetleń: 1665
  • układy programowalne w vhdl - jaki system projektowy

    Tak jak nie istnieje jeden kompilator (np Microsoftu czy Keila czy jakiejkolwiek innej firmy) na WSZYSTKIE procesory który był by przydatny(pomijam różne ciekawe "uniwersalne" narzędzia, ich realna przydatność jest zerowa) tak i dla FPGA nie znajdziez jednego dobrego na wszystko systemu. Podstawowy problem tkwi w tym że FPGA różnych firm są naprawdę...

    Programowalne układy logiczne   27 Lut 2007 10:19 Odpowiedzi: 4    Wyświetleń: 1967
  • SystemC

    (..), bo nie mozna napisac calego testbencha w systemC, zawsze topem musi byc hdl, to utrudnia czasem rozne rzeczy i przedewszystkim zmusza do zmian projekt (czesc testujaca) ktory napisalismy np w samym systemc i chcemy teraz "dol" przerobic na hdl. Jeśli dobrze rozumiem to "masz za złe" Activovi, że testbench napisany w systemC nie może tesować top-a...

    Programowalne układy logiczne   26 Lip 2007 11:48 Odpowiedzi: 6    Wyświetleń: 2069
  • REKLAMA
  • System scalający wideo z dwóch kamer w celu uzyskania obrazu 3D

    Wstęp Systemy wideo, niesamowicie popularne w urządzeniach na rynku konsumenckim, zdobywają coraz większe uznanie w urządzeniach użytkowanych w aplikacjach przemysłowych, na rynku motoryzacyjnym i w systemach automatyki przemysłowej. Wzrost udziału tych systemów w wymienionych aplikacjach związany jest mocno z wprowadzeniem standardów HDMI oraz z zwiększoną...

    Artykuły   07 Maj 2014 13:25 Odpowiedzi: 2    Wyświetleń: 6987
  • [Verilog][VHDL] Konwerter odwrotny RNS.

    Witam! Na początek proszę o wyrozumiałość, bo to mój pierwszy post na tym forum i mam nadzieję, że zachowałem wszystkie zasady. Jak zamieściłem w temacie moim problemem jest napisanie konwertera odwrotnego RNS, czyli zamiana liczby w resztowym systemie liczbowym na naturalny np. dziesiętny lub dwójkowy. Mój problem nie polega na nieznajomości algorytmu,...

    Programowanie   12 Mar 2011 05:35 Odpowiedzi: 0    Wyświetleń: 1110
  • [epm 3064] verilog - generator pojedyńczego zbocza

    obawiam sie, ze traktujesz pld jak inny rodzaj procesora ... ale moze zle sie domyslam; to co jest po "always (at)(pos reg config; wire done; wire config_trigger = CPU_NRESET & egde; always (at)(negedge config_trigger or posedge done) if ( done ) config <= 1'b0; else config <= 1'b1; always (at)(posedge external_clock)...

    Programowalne układy logiczne   24 Wrz 2009 11:54 Odpowiedzi: 3    Wyświetleń: 1638
  • Verilog - transkoder BIN -> BCD

    Twój opis jest NIESYNTEZOWALNY , zastanów się dokładniej nam takim czymś: always wykonują się współbieżnie względem siebie, i do tego mają dostęp (zapis), do tego samego reg ! Unikaj takich rzeczy na przyszłość... Zamień to na jeden blok, nawet jak w symulacji Ci pójdzie, na pewno nie przejdzie syntezy. Dobra, widać po poniższej linijce, że nie masz...

    Programowalne układy logiczne   08 Maj 2011 10:51 Odpowiedzi: 16    Wyświetleń: 3667
  • [VERILOG] Xilinx Spartan 3 - Błąd syntezera

    ja bym to zrobil tak: [w kodzie sa komentarze, ktory byc moze cos wyjasnia] [syntax=verilog] module dekada (CLK, RST, CE, SET, prst1, prst2, prst3, prst4, Q0, Q1, Q2, Q3, zero); input CLK, RST, CE, SET; input [3:0] prst1; // input [2:0] prst2; // chyba typo input [3:0] prst2; input [3:0] prst3; input [3:0] prst4; output [3:0] Q0; output [3:0] Q1; output...

    Programowalne układy logiczne   29 Lis 2013 15:07 Odpowiedzi: 5    Wyświetleń: 1860
  • Skala czasu Verilog - co to znaczy

    Verilog to HDL (język opisu sprzętu) używany do modelowania systemów elektronicznych. Zwykle używamy go podczas projektowania układu cyfrowego i weryfikacji różnych rodzajów obwodów (również cyfrowych, analogowych i mieszanych). Jedną z najważniejszych cech symulacji Verilog jest jej skala czasowa, ponieważ domyślnie nie ma żadnych opóźnień czasowych....

    Początkujący Elektronicy   07 Paź 2014 21:38 Odpowiedzi: 0    Wyświetleń: 8100
  • Xilinx czy Altera? Koszt softu z Embeded System Design, DSP.

    A jeżeli twój wykładowca twierdzi , że graficzne generatory tesbenchów to zabawki , to śmiem twierdzić , iż jego wiedza praktyczna w układach FPGA kończy się na Evaluation-Kit'ach. Na podstawie tego: No i nagle wynikła potrzeba zrobienia czegoś bardziej pogmatwanego - Embeded System. To śmiem twierdzić, że czasy baroku już minęły. Ten zarzut jest chybiony...

    Programowalne układy logiczne   09 Wrz 2010 12:41 Odpowiedzi: 11    Wyświetleń: 3790
  • Moduł generatora VGA - Verilog

    Może tak. Opis zrób z asynchronicznym resetem. Zamiana z asynchronicznego na synchroniczny to tylko dodanie dodatkowej flagi dla syntezera XST (-async_to_sync), albo w GUI ISE na PPM na Synthesize - XST. Pełny synchroniczny reset w systemie to brak resetu jeśli nie ma domeny zegarowej. Jak używasz opisu logiki synchronicznego z asynchronicznym resetem,...

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4725
  • verilog - SPI z automatycznym zatrzaskiem

    Pytanie zasadnicze jest jeszcze takie: czy będzie to sam moduł SPI, czy ma to być część większego systemu taktowanego innym clockiem? Bo jeśli to drugie, to lepiej byłoby imho próbkować SCK do rejestru przesuwającego i robić detekcję zbocza kombinacyjnie, patrz niżej:

    Programowalne układy logiczne   24 Cze 2013 13:51 Odpowiedzi: 10    Wyświetleń: 2973
  • stm32f0 - System Workbench for STM32 debugger

    Wkleiłem do post build. Chyba nie ma tutaj takiego polecenia. W konsoli otrzymuję: make --no-print-directory post-build 'Generating binary and Printing size information:' arm-none-eabi-objcopy -O binary "qwe.elf" "qwe.bin" arm-none-eabi-size -B "qwe.elf"arm-none-eabi-nm --size-sort -rC "qwe.elf" >> rozmiary.txt...

    Mikrokontrolery ARM   10 Mar 2016 13:36 Odpowiedzi: 18    Wyświetleń: 2901
  • Licznik w Verilogu - błąd przy syntezie

    Błędem merytorycznym jest stosowanie w tego typu układach wielu sygnałów zegarowych (always (at) (...edge)). Powinno stosować się jeden znacznie szybszy sygnał zegarowy dla całego systemu, a zbocza innych sygnałów wykrywać za pomocą rejestrów - czyli pamiętamy stan poprzedni wejścia, odczytujemy stan aktualny, sprawdzamy wartość obu (możemy wykryć:...

    Programowalne układy logiczne   21 Sie 2016 17:12 Odpowiedzi: 2    Wyświetleń: 3384
  • Uruchomienie systemu operacyjnego na płytce Zybo Z7.

    Witam, jak w temacie, szukam osób na tym forum które bawią się tego typu rzeczami. Dostałem płytkę Zybo Z7, i poza podstawowymi programami w Verilog, które udało mi się uruchomić, chciałbym odpalić na Zynqu system Liunx np Arch. Udało mi się przejść przez ten tutorial: Niestety po włożeniu karty i uruchomieniu terminala, uruchamia się tylko uboot, brak...

    Programowalne układy logiczne   15 Maj 2018 09:43 Odpowiedzi: 0    Wyświetleń: 432
  • Jak karty PCIe z FPGA wspomagają systemy AI i ML

    BittWare, spółka zależna firmy Molex, zaprezentowała niedawno nowe karty PCIe z układami FPGA, dedykowane do pracy w systemach akceleracji algorytmów uczenia maszynowego. BittWare 520N oferują istotne zalety w porównaniu z przetwarzaniem na CPU czy GPU, o czym opowiada dokładniej poniższy artykuł. Karty te znajdują się obecnie w dystrybucji firmy Arrow,...

    Artykuły   20 Cze 2020 22:50 Odpowiedzi: 3    Wyświetleń: 1683
  • Jak zaprogramować uC do prostych funkcji logicznych?

    No a mają one możliwość generowania kodu dla opisu za pomocą grafu ? Są darmowe narzędzia, które przekształcają graficzny graf (kółka, strzałki etc) na pełny opis HDL jako FSM (Finite State Machine), jest tego pełno. Ja tam preferuje ręczny coding maszyny. Jeśli chodzi o uC to mają większe możliwości gdy idzie o rozbudowę softu, dodanie jakiś skomplikowanych...

    Mikrokontrolery AVR   17 Lip 2011 20:06 Odpowiedzi: 16    Wyświetleń: 2819
  • Aldec Active-HDL 7.2 - translacja kodu z C do vhdl

    Czy możnaby otrzymać z kodu w C kod w vhdl'u? nie wiem szczerze mowiac, moze mozna, ale po co ? jesli juz mialaby byc taka mozliwosc, to wyobrazam sobie, ze taki translator generowalby jakis uprocesor i umieszczal skompilowany kod c w 'embedded sram' ... ;); mowiac powaznie - co innego procesor, co innego fpga, nawet jesli teoretycznie da sie 'przetlumaczyc'...

    Programowalne układy logiczne   17 Kwi 2008 10:54 Odpowiedzi: 2    Wyświetleń: 1866
  • Czy otwarty sprzęt pójdzie w ślady sukcesu Linuxa?

    Rick O’Connor W tym roku mija 30. rocznica wydania jądra systemu operacyjnego Linux. Będąc podstawą ruchu oprogramowania open source, otwarty kod tego systemu dał początek setkom projektów wykorzystujących darmowe, publiczne dystrybucje Linuxa. W rezultacie powstała długa lista solidnych, stabilnych i elastycznych produktów. Biorąc pod uwagę...

    Artykuły   25 Maj 2021 19:51 Odpowiedzi: 21    Wyświetleń: 2118
  • FIR Compiler Altera sygnały wejściowe

    1. DDR jest na wyjsciu kosci, takze wszystko - caly design ma chodzic albo na rising albo na falling edge nie utrudniaj sobie zycia. 2. Nie operuj na variable, uzyj signal 3. Jeden proces/always - jeden wektor/sygnal To jest System Verilog: reg [31:0] shiftreg = 0; - wiedziales o tym? O resecie juz pisalem. Jesli nie wiesz jak wygladaja wzajemne relacje...

    Programowalne układy logiczne   01 Wrz 2008 11:45 Odpowiedzi: 26    Wyświetleń: 2983
  • Algorytmy zmiennoprzecinkowe dla układów FPGA i ASIC

    Generacja natywnego kodu HDL dla operacji zmiennorzecinkowych pozwala na implementację tego rodzaju operacji na sprzęcie z wykorzystaniem VHDLa lub Veriloga. Wszystko to bez mozolnej i trudnej konwersji na liczby stałoprzecinkowe. Dane zmiennoprzecinkowe to preferowany typ zmiennych, w aplikacjach modelowania i symulacji, gdzie liczy się wysoka dokładność...

    Artykuły   08 Lip 2018 16:02 Odpowiedzi: 0    Wyświetleń: 2541
  • Trudne początki z CPLD/FPGA dla fana C

    Bym się rozpisał na ten temat, ale może kiedy indziej :P Dla fanów C/C++: "The Design Warriors Guide to FPGAs" i wiele innych.

    Programowalne układy logiczne   29 Lip 2012 15:29 Odpowiedzi: 6    Wyświetleń: 3060
  • WARP-V: Generator rdzenia procesora RISC-V obsługujący ISA MIPS

    Jeśli pracowałeś kiedyś nad otwartymi rdzeniami procesora RISC-V ISA, istnieje duża szansa, że ​​natknąłeś się na WARP-V. Dla początkujących WARP-V to po prostu generator rdzeni procesora RISC-V napisany w TL-Verilog (Transaction-Level Verilog), który obsługuje nie tylko RISC-V, ale także ISA MIPS. WARP-V jest przedmiotem dyskusji od dłuższego...

    Newsy   20 Lip 2021 08:24 Odpowiedzi: 1    Wyświetleń: 657
  • Wbudowane FPGA przekroczą popularność klasycznych FPGA

    FPGA stały się technologią strategiczną. Kiedyś były to: „układy ASIC biednego człowieka” i zapewniały dopasowane do zastosowań rozwiązanie o mniejszej objętości. Chociaż są one nadal używane w ten sposób w wielu systemach, stały się również zasadniczo ważne dla dwóch bardzo dużych, szybko rozwijających się aplikacji: * Centr danych w chmurze:...

    Newsy   20 Kwi 2022 07:25 Odpowiedzi: 1    Wyświetleń: 771
  • SmartDV dodało wsparcie dla emulatora Verilator

    SmartDV Technologies poinformowało o dodaniu wsparcia dla Verilator - darmowego, otwartego symulatora języka opisu sprzętu (HDL), stając się pierwszym na świecie dostawcą systemów weryfikacji bloków IP (VIP). "Symulator typu open source, taki jak Verilator, jest świetną opcją dla startupów lub małych firm o skromnych budżetach" mówi Deepak Kumar Tala,...

    Newsy   28 Paź 2019 21:13 Odpowiedzi: 0    Wyświetleń: 348
  • [Praca] Electronics Engineer - FPGA developer (Geneva, Switzerland)

    Description and application can be found: Your responsibilities: We are looking for a gateware (HDL for FPGA) developer to join the White Rabbit project, a CERN-born technology and now an industry-standard that provides sub-nanosecond synchronisation and deterministic data transfer over a distributed network. The White Rabbit Switch (WRS), is the core...

    Ogłoszenia Elektronika   18 Sie 2023 23:07 Odpowiedzi: 0    Wyświetleń: 99
  • Filtry Cyfrowe FIR w czasie rzeczywistym na Virtex5

    Nie wiem, co konkretnie chcesz zrobić, ale obawiam się, że skończy się porażką. Znasz może VHDL albo Veriloga? Co do C, to może chodzi tu o SystemC ? W każdym razie trochę się różnią te języki od C - prawie jak krzesło od krzesła elektrycznego. Najważniejsza chyba różnica - w programie w C operacje wykonywane są "linia po linii", natomiast w takim np....

    Programowalne układy logiczne   17 Wrz 2007 10:12 Odpowiedzi: 10    Wyświetleń: 4311
  • Quartus clock enable

    Czesc, Z wysylaniem zegara z FPGA to nie taka prosta sprawa i jak juz J.A zauwazyl, najlepiej jest jak zegar jest na PCB i idzie zarowno do FPGA (dedykowane wejscie) jak i do odbiornika. Wtedy zarowno FPGA jak i odbiornik sa perfekcynjie synchroniczne. (o ile zegar jest routowany jako zegar na PCB czyli dociera do wszystkich ukladow w tym samym czasie)....

    Programowalne układy logiczne   07 Sty 2008 10:00 Odpowiedzi: 9    Wyświetleń: 2834
  • Jaki zestaw Development Kit do nauki FPGA i VHDL?

    A jaka jest korzyść z posiadania FPGA z zaimplementowanym softcore'em ARMa nad samym ARMem, jako gotowym układem? Czy te FPGA będzie szybsze?, ARMy też są robione w różnych wersjach. Przyglądałem się obu językom VHDL i Verilog, i jak dla mnie, ten VHDL to jszcze kosmos. Składnia Veriloga jakoś bardziej przemawia za "językiem dla ludzi". Kris. Fpga...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16610
  • [VHDL]Spartan2 i termometr 1Wire DS1820

    Czesc, Ja odpalilem te kody Dallasa (vhdl) i moge gadac z moim ds2406 poprawnie. Nie obylo sie bez symulacji tego badziewia i przeprojektowania czesci ich kontrolera aby troche go dopasowac do reali FPGA. (Oryginalne kody sa projektowane na ASIC'a, i do tego jak juz zaznaczylem, architektura tego co jest w vhdl'u jest troszke inna niz tego co jest w...

    Programowalne układy logiczne   10 Lis 2009 14:58 Odpowiedzi: 20    Wyświetleń: 6648
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35239
  • Odczytywanie danych typu real z pliku

    Nie czaje troche po co zaczytujesz caly plik do pamieci? Nie mozesz zorganizowac sobie porzadnie pliku i napisac pare funkcji od odczytu z dowolnego miejsca w pliku? Jesli chcesz czytac binarnie to niestety musisz napisac sobie funkcje konwertujaca, duzego case-a, ktory bedzie potrafil rozpoznac znak, to bedzie troche trudne ze wzgledu na to ze w zwyklym...

    Programowalne układy logiczne   11 Lut 2008 09:24 Odpowiedzi: 7    Wyświetleń: 2853
  • Nowość od Intela - FPGA jako usługa

    Usługi dostarczane w chmurze zmieniają sposób prowadzenia niemalże każdego biznesu na świecie. Sama idea dostarczania usług od dawna zmienia sposób realizacji wielu zadań. Zazwyczaj zmienia ona drastycznie także sposób ich wyceny. Bardzo często, zwłaszcza na dostawcach sprzętu, wymusza użyczenia go jako usługi, za niewielką opłatą, a nie sprzedawanie...

    Newsy   20 Paź 2017 06:43 Odpowiedzi: 0    Wyświetleń: 1659
  • Rzadko zadawane pytania: jak jedną linią GPIO zmierzyć temperaturę?

    Pytanie: Jak mogę dokonać pomiaru wartości analogowej, jeśli w moim systemie pozostało tylko jedno GPIO na FPGA/mikrokontrolerze? Odpowiedź: Zamiast przetwornika analogowo-cyfrowego można zastosować przetwornik napięcia na częstotliwość. Ponieważ zapotrzebowanie na funkcje pomiarowe staje się coraz bardziej powszechne w nowoczesnych aplikacjach medycznych,...

    Artykuły   13 Gru 2019 18:55 Odpowiedzi: 10    Wyświetleń: 2058
  • Jakie małe programowalne układy logiczne mają przyszłość?

    Jest kilka wiodących producentów układów logiki programowalnej. Układów jest zatrzęsienie i łatwo się w tym pogubić. Rzeczywiście, Lattice jest jednym z większych producentów i specjalizuje się w małych i energooszczędnych układach, niemniej jednak, większość rynku posiadają firmy Intel i Xilinx. Ich układy FPGA są najpopularniejsze, dlatego też proponowałbym...

    Programowalne układy logiczne   01 Cze 2023 08:30 Odpowiedzi: 21    Wyświetleń: 999
  • Co ten rok przyniesie eFPGA?

    W ciągu ostatnich kilku lat obserwujemy przyspieszenie adopcji zintegrowanych FPGA (eFPGA) w produkcji układów ASIC i SoC. W rzeczywistości jeszcze w zeszłym roku przewidywano, że liczba jednostek logicznych FPGA w postaci modułów eFPGA przewyższy liczbę jednostek logicznych w tradycyjnych FPGA na przestrzeni tego dziesięciolecia. Ten wzrost jest napędzany...

    Artykuły   22 Paź 2023 21:30 Odpowiedzi: 1    Wyświetleń: 855
  • Zestaw DE2 Firmy ALTERA. Implementacja TCP/IP, FTP.

    witam... Nie jestem mocny z AVR-ów ale wydaje mi się że to trochę nie to samo co FPGA. System operacyjny NUT OS jest rzeczywiście dużo bardziej bliski sieci niż uC/OS II chociażby przez sam TCP/IP. Ale nie dość że każesz mi się przesiąść na inny system operacyjny, inne biblioteki to jeszcze na inną płytę. Ja chciałem zabazować na uC/OS II i zestawie...

    Programowalne układy logiczne   13 Lut 2007 19:35 Odpowiedzi: 11    Wyświetleń: 4514
  • jak przekazywac wartości sygnałów w VHDL

    Czesc, Bis: W sumie jesli asembler jest "jezykiem opisu sprzetu" to ja mam taka malutka prosbe w sumie. Mam Athlona w moim kompie i on jest taki troszke wolny i pomyslalem sobie, ze moze moglbys mi podeslac jakis prosty kodzik w asemblerze, ktory skrocilby mi potok w procku do czegos normalnego i najlepiej jakby spowodowal ze instrukcje skokow wykonywaly...

    Programowalne układy logiczne   08 Gru 2006 11:06 Odpowiedzi: 16    Wyświetleń: 2695
  • Zlutuj swój własny komputer 8 lub 16 bit...

    Pewnie jako zbieracz chcialbys miec oryginal, wiec zlutowanie sobie wlasnorecznie czegos funkcjonalnie podobnego raczej Cie nie zadowoli. Dochodzi do tego problem z czesciami, z wykonaniem plytek, z obudowa, klawiatura, etc., etc.. Suma sumarum sadze, ze finalny efekt bedzie daleki od oczekiwan kolekcjonera. Mysle, ze obecnie za smiesznie male pieniadze...

    Komputery Hardware   29 Sty 2009 05:15 Odpowiedzi: 17    Wyświetleń: 5857
  • Minimig - miniaturowy klon Amigi 500

    Minimig jest pełnosprawnym zminiaturyzowanym „klonem” komputera Amiga 500, wykonanym z użyciem układu FPGA. Dennis VanWeeren zminiaturyzował ją do pojedynczej płytki o wymiarach 12*12 cm. Jako pamięć masowa została wykorzystana karta pamięci MMC. Całość zasilana jest pojedynczym napięciem 5V. Minimig składa się z 4 głównych podzespołów:...

    DIY Zagranica   07 Kwi 2009 08:55 Odpowiedzi: 18    Wyświetleń: 12504
  • Środowiska IDE a język programowania

    [url=]Qt - powinno Ci się spodobać, tak samo jak QtSDK i biblioteka Qt. Qt Creator jest szybki i ma bardzo dobrą zintegrowaną pomoc (opis klas, metod itp.). A jak chcesz tworzyć szybko GUI do aplikacji, to możesz użyć Qt Designer, aplikacja typu drag&drop. Ja stawiam na C/C++/Java jeśli chodzi o języki programowania, bo tylko one ładnie mi się integrują...

    Programowanie   30 Gru 2016 21:27 Odpowiedzi: 11    Wyświetleń: 2491
  • Ile jest bramek logicznych na procesorze?

    AVE... To już zależy od realizacji układu. Prosty mikrokontroler ośmiobitowy najwięcej tranzystorów będzie miał w blokach pamięci, bo reszta to proste układy. ALU będzie małe, dekoder rozkazów ciut większy, a zbiór rejestrów będzie przypominał kolejny blok pamięci. Komórki pamięci są dość duże i dlatego dużo miejsca zajmują. W przypadku CPU i GPU pamięć...

    Początkujący Elektronicy   13 Sie 2012 14:23 Odpowiedzi: 18    Wyświetleń: 12586
  • FPGA-Audio - odtwarzacz MP3/WAV na układzie FPGA

    Celem projektu było zbudowanie odtwarzacza MP3/WAV, używając jedynie układu FPGA, pamięci RAM i przetwornika stereo DAC. Układ wykorzystuje 32-bitowe jądro procesora programowego pracujące przy taktowaniu poniżej 60MHz, które odpowiada za dekodowanie MP3 bez wsparcia sprzętowego - poza wykorzystaniem jednocyklowych operacji na mnożniku. Część sprzętowa:...

    Artykuły   19 Kwi 2013 21:37 Odpowiedzi: 1    Wyświetleń: 13701
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Do słowa symulacja zniechęciła mnie uczelnia...nie widząc rzeczywistego urządzenia. jak chcesz, patrzac w rzeczywiste urzadzenie nie widzisz nic ciekawszego niz migajaca Nie wiem co jest grane przy kompilacji pojawia mi się błąd, że outputs are stuck - niektóre pod Vcc a jeden pod GND nie wiedzieć czemu w koncowym raporcie [tej kartce na glownym oknie...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2448
  • Czy wiesz czym zajmuje się firma National Instruments?

    elektroda.pl: Jakimi obszarami rozwiązań zajmuje się National Instruments, kto znajdzie w portfolio NI rozwiązania dla swoich projektów? National Instruments: NI jest producentem sprzętu pomiarowego oraz wbudowanych systemów kontrolno-pomiarowych. Wszystkie nasze urządzenia mogą być programowane z poziomu środowiska elektroda.pl: Pojęcie akwizycja...

    Artykuły   11 Gru 2015 17:20 Odpowiedzi: 22    Wyświetleń: 3798
  • Sterownik matrycy LCD w układzie Altera Cyclone III

    Nie ma nic nowszego :( No nic, wezmę się za tego Veriloga. Znalazłem jeszcze coś takiego jak Board Test System, gdzie można sprawdzić działanie wyświetlacza poprzez wgranie pliku bmp ale niestety po uruchomieniu aplikacji nie mogę wejść w żadną zakładkę. Układ skonfigurowałem według instrukcji. Gdy ustawiam PGM CONFIG SELECT na pozycję pierwszą i włączam...

    Programowalne układy logiczne   11 Gru 2015 12:25 Odpowiedzi: 15    Wyświetleń: 2652
  • Nowy, eksperymentalny procesor wyposażony w 36 rdzeni

    Im więcej rdzeni znajduje się w CPU, tym większy jest problem w zakresie komunikacji pomiędzy rdzeniami. Li-Shiuan Peh z MIT od lat zajmuje się tym tematem i twierdzi, że wielordzeniowe układy przyszłości przypominać będą miniaturowe sieci, jak Internet - z połączeniami pomiędzy rdzeniami, routerami i ustalonymi pakietami danych wymienianymi pomiędzy...

    Newsy   09 Sty 2016 21:13 Odpowiedzi: 9    Wyświetleń: 3084
  • DARPA szuka rozwiązań dla elektroniki "poza prawem Moora"

    Tegoroczne lato to okres pilnego wysłuchiwania wszystkich propozycji dla Billa Chappella (na zdjęciu). Jest on szefem wartego ponad 200 milionów dolarów projektu naukowego, którego zadaniem jest poszukiwanie nowych ścieżek rozwoju elektroniki, jakie miałyby pomóc w rewitalizacji tego segmentu. Oczywiście, Chappel jest świadom, że projekt nie zaowocuje...

    Artykuły   26 Lip 2017 13:03 Odpowiedzi: 0    Wyświetleń: 2289
  • Konkurs - do czego wykorzystasz FPGA? WYNIKISponsorowany

    Inny argument za VHDL/Verilog to to, że nie wszystko da się opisać w C++, co potem ma zostać wykonane w strukturze logicznej... To prawda, właściwie dotyczy to każdego języka ogólnego przeznaczenia. Obecnie na rynku trudno jest znaleźć dobrych programistów C/C++ jeśli chodzi o VHDL czy Verilog jest jeszcze gorzej Chyba dla tego, że Web rządzi dziś...

    Artykuły   16 Gru 2019 18:57 Odpowiedzi: 87    Wyświetleń: 9906
  • Programator Lattice

    Właśnie udało mi się to dziwactwo uruchomić (ISPLSI2032 110LT48), więc mogę powiedzieć co i jak należy zrobić aby wszystko zaczęło działać jak należy : po pierwsze programator - ja kupiłem płytkę programatora ZL8PRG do tego dwa scalaki 74VHC00 i 74VHC244 + rezystory 6x10kom, 5x100om i kondensatory 5x100pF (wszystko SMD). oprogramowanie : ISPLever (isp6_1m1.CPLD.exe...

    Mikrokontrolery   18 Maj 2007 21:35 Odpowiedzi: 13    Wyświetleń: 4590
  • [Zrobię] Projektowanie PCB / Schematów / FPGA / uC / inne usługi elektroniczne

    Witam W ramach mojej działalności chciałbym zaoferować Państwu swoje usługi z szerokiej dziedziny elektroniki. Projektowanie PCB - dowolna ilość warstw - zrealizowane 20 warstwowe - oprogramowanie: CADENCE ALLEGRO/ALTIUM DESIGNER/PROTEL/CADSTAR/PADS/EAGLE/ oraz inne na życzenie klienta Tworzenie schematów elektrycznych - dowolna trudność urządzenia...

    Ogłoszenia Elektronika   23 Paź 2011 19:16 Odpowiedzi: 2    Wyświetleń: 2790
  • Podstawy związane z FPGA... (środowisko + literatura).

    Jeszcze chciałem zapytać o narzędzia (środowiska) do wizualnego projektowania układów FPGA. Czy producenci chipów takie oferują? (a może firmy trzecie?) Chodzi mi o funkcjonalność podobną do MATLAB/Simulink gdzie schemat logiczny układa się graficznie z pojedynczych bloków, na starcie mamy wszystkie wejścia i wyjścia, a użytkownik łączy odpowiednie...

    Programowalne układy logiczne   23 Lis 2014 13:48 Odpowiedzi: 8    Wyświetleń: 2697
  • Jak wyłączyć optymizację w Lattice Diamond, by zobaczyć efekty syntezy?

    chcialbym zobaczyc pelny raport z kompilacji; Za chwilę. Przygotowuję prostszy kod w którym problem nadal występuje. jak jest generowany "Signal_1" ? To sygnał z zewnątrz. Nazwa Signal może jest myląca. To port wejściowy FPGA. Sygnał pochodzi z procesora ARM i jest czysty (ładny prostokąt). Może spróbuj wykomentować po kolei linie programu przypisujące...

    Programowalne układy logiczne   11 Paź 2019 08:54 Odpowiedzi: 34    Wyświetleń: 1008
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    Dobrym nawykiem jest takie pisanie kodu HDL, aby był przenaszalny pomiędzy różnymi układami. Wiadomo, ale w tym przypadku to niemożliwe bo wymagania różnych producentów są sprzeczne. Jedni mówią że inicjalizacja przy deklaracji jest ok) a nawet pożądana), a inni (choć nie wiem jacy) że nie jest (tak pisze w tym tutorialu jaki podałem). Dlatego jednak...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1782
  • Jak stworzyć prosty procesor 4,8 bit samodzielnie?

    przychodzę z pytaniem jak stworzyć procesor w domu Ma to być procesor czy mikroprocesor? Procesor możesz zbudować z układów logicznych a nawet z tranzystorów, lamp czy przekaźników. Mikroprocesor - czyli taki procesor z cała logiką zamknięta w jednym układzie scalonym - to raczej w domu nie osiągalne bo wymaga opanowania technologii produkcji układów...

    Początkujący Elektronicy   04 Gru 2022 01:58 Odpowiedzi: 14    Wyświetleń: 210
  • Czy tylko Protel?

    Witam Przeglądając zawartość tego działu, jak również znajdujących się na innych stronach biuletynów a poświęconych zagadnieniom EDA można dość do wniosku iż w Polsce króluje tylko jedne program wykorzystywany do tego celu. Niechybnie jest nim Protel, w kolejnych odsłonach. Oprogramowanie to niestety potwierdza jedno z praw Murphy’ego iż „Nowa...

    Projektowanie PCB   16 Kwi 2007 21:20 Odpowiedzi: 62    Wyświetleń: 16777
  • Sygnal delta w testbanchu

    Witam Mam testbancha ktory zapisuje do pliku wyniki symulacji i chcialbym zeby w pliku tez sie znalazla delta. Jak mam dodac taki sygnal?? przepraszam bardzo ale o jakiego "tesbancha" chodzi ?? verilog ,vhdl, system c przypuszczam żę pewnie o vhdl-a ale wydaje mi się że z tą deltą to będzie mały problem bo z tego co wiadomo mnie to się tego po prostu...

    Programowanie   08 Sty 2006 19:34 Odpowiedzi: 3    Wyświetleń: 681
  • jaki będzie wynik , POMOCY

    Kamyki , zastanow sie chwilke :) , jesli Tobie sie nie chce napisac tego programu, to niby dlaczego, ma sie chciec komus innemu, kto moze za niego dostac co najwyzej 3 punkty - nie liczac Twojej dozgonnej wdziecznosci ? Osobiscie nie znam tego systemu na ktory piszesz, wiec nie napisze takiego programu, ale skoro jestes w szkole, ktora wymaga pisania...

    Mikrokontrolery   02 Mar 2006 16:41 Odpowiedzi: 6    Wyświetleń: 915
  • Czy osobny dzial p.t. PLD jest na Forum potrzebny ?

    Pojawia sie jeszcze jeden problem. Jesli powstanie nowy dzial, to czy juz istniejace posty dotyczace tematyki PLD zostana przemigrowane do niego, a jesli tak to wg. jakiego klucza ? Zrobilem Search po haslach " pld cpld fpga" i wyszlo okolo 430 postow z bardzo roznych dziedzin. Przypuszczam zatem, ze prosty automat nie da sobie rady z rozsadnym wyborem...

    Mikrokontrolery   26 Cze 2006 09:27 Odpowiedzi: 31    Wyświetleń: 3108
  • pytanie laika :D różnica między CPLD i FPGA

    Witam FPGA (ang. Field Programmable Gate Array) to rodzaj programowalnego układu logicznego. Dla projektanta ma funkcjonalność taką samą jak układ typu ASIC, jednak może być wielokrotnie przeprogramowany po tym jak został już wytworzony, zakupiony i zamontowany w urządzeniu docelowym. Największymi dostawcami tego typu układów są firmy Altera i Xilinx...

    Programowalne układy logiczne   12 Lut 2007 23:17 Odpowiedzi: 3    Wyświetleń: 16044
  • Jestem nowicjuszem - Kilka pytań o układy programowalne

    Czesc, Odnosnie Twoich oryginalnych pytan, to w zasadzie dostales juz odpowiedzi, ale tutaj jest co ja sadze o paru sprawach. b) Uklady programowalne nie dorownuja wspolczesnym procesorom jesli porownasz maksymalne czestotliwosci zegara. Natomiast inna sprawa to fakt, ze na normalny procesor wykonuje jakis zestaw rozkazow i cokolwiek robisz musi byc...

    Programowalne układy logiczne   19 Mar 2007 21:53 Odpowiedzi: 19    Wyświetleń: 6720
  • NanoBoard-NB1 + Altium Designer 6.5: CZY WARTO?

    Czesc, To ja jeszcze doloze :) Jak masz AD 6.5 zainstalowanego to w Examples masz schematy i PCB do Nanoboard1. W tym samym folderze (reference designs) masz dwa projekty pcb do tych daughterboards, jeden z Spartan2e 300 i drugi z cyclone 12. Masz budzet 2000 PLN. To kupa forsy. Zastanow sie czy nie taniej byloby zbudowac sobie ta plyte bo schematy...

    Programowalne układy logiczne   24 Kwi 2007 21:04 Odpowiedzi: 9    Wyświetleń: 4128
  • LiveDesign Evaluation Kit czy warto?

    Szukam jakiejs plytki na ktorej moglby sie dalej uczyc VHDLa i Veriloga nie tylko przy symulacjach. Dodatkowo powiem ze chcialbym cos so moze mi sie przydac do pracy dyplomowej: Stworzenie syntezowalnego kodu up 80251 w jezyku VHDL. Mam mozliwosc zakupu tej plytki za 450zl. Czy oplaca sie? Czy moze za ta cene mozna kupic cos sensowniejszego? Jedna wersja...

    Programowalne układy logiczne   24 Sty 2008 15:45 Odpowiedzi: 16    Wyświetleń: 3520
  • Problem z projektem, siec batchera -niezawodne syst cyfrowe

    Witam mam problem z takim tematem, ze wzgledu na mala ilosc materialow czasu i wiedzy. Czy jest ktos to wie o co w tym chodzi i moglby pomoc zrozumiec problem, dac wskazowki, cokolwiek, termin do 18 stycznia. ========================================... Generacja zestawu wektorów testowych dla sieci sortującej zrealizowanej jako sieć nieparzystoparzysta...

    Początkujący Elektronicy   10 Sty 2008 16:41 Odpowiedzi: 0    Wyświetleń: 806
  • Odczyt wypełnienia PWM - podstawy

    Zamiast CPLD mozesz uzyc jakis prosty osmionozkowy procek ze zintegrowanym generatorem kwarcowym, ktory zajmie sie jedynie mierzeniem szerokosci impulsow oraz szeregowym przekazywaniem ich do glownego procesora (IIC lub UART lub SPI ). Takie procki ( jakis ATTiny lub 68HC08 ) sa dostepne w wersjach przewlekanych i kosztuja grosze. Mozna je zaprogramowac...

    Mikrokontrolery   28 Sty 2008 18:44 Odpowiedzi: 78    Wyświetleń: 11300
  • Praktyczny kurs wykorzystania układów programowalnych FPGA

    Witam wszystkich. Firma, w której pracuje chce zorganizować kurs dotyczący wykorzystania układów programowalnych FPGA. W ramach kilkudniowego kursu odbyłoby się wprowadzenie w temat układów programowalnych, przedstawienie układów kilku firm, opis zasady działania/budowy wybranych układów, Laboratoria na których realizowane byłyby przykładowe możliwości,...

    Ogłoszenia Elektronika   19 Wrz 2008 09:04 Odpowiedzi: 5    Wyświetleń: 3214
  • ALtera DE2 DM9000A uruchomienie

    Witam Chcialem wykorzystac uklad do DM9000A do przesylania danych z pamieci na DE2 za pomoca kontrolera Ethernet wlutowanego na zestawie. Poniewaz do plytki dolaczone sa przykladowe projekty - na poczatku przerabialem jedynie gotowe rozwiazanie. Wszystko dzialalo. Postanowilem zrobic projekt 'od zera' w block diagramie, poniewaz wszystko w przykladach...

    Programowalne układy logiczne   17 Sty 2013 11:12 Odpowiedzi: 2    Wyświetleń: 2227
  • [FPGA] - Wymiana danych między NIOSem a VHDL

    Temat trochę stary, ale odświeżę go, bo sam się o to rozbiłem. W skrócie w SPOC Buliderze trzeba zbudować własny komponent, który umożliwi nam wyciągnięcie potrzebnych sygnałów na zewnątrz systemu SoC. Komponent musi posiadać interfejs avalon, najprościej na początek slave-mm. Umożliwia to zbudowanie komponentu, do którego dostęp mamy poprzez rejestry...

    Programowalne układy logiczne   01 Sie 2010 21:49 Odpowiedzi: 2    Wyświetleń: 1965
  • Vhdl-sterowanie szerością impulsu

    Do tej pory pisałem w c i asm, z tego co słyszałem to asm jest podobny swą logiką do VHDL-u, stąd moje możliwe że i błędne rozumowanie, a literatura nie wyczerpuje realnej pracy na sprzęcie. ASM czy C, to języki liniowe, bazujące na danych i instrukcjach. Kod wykonuje się liniowo z góry na dół, z możliwością odwołania/skoku, gdzie indziej, ale nadal...

    Programowalne układy logiczne   04 Sty 2012 18:16 Odpowiedzi: 7    Wyświetleń: 2820
  • Politechnika Wrocławska - Robotyka czy Aparatura Elektroniczna ?

    Znalazłem jeszcze interesujący kierunek na Politechnice Gdańskiej : Systemy Mikroelektroniczne. Teraz sam nie wiem co wybrać. A pozatym na PG widziałem zadania z Mikroelektroniczne Systemy Programowalne że każą pisać w VHDL-u a ja preferuję bardziej Verilog, czy teraz warto się przerzucić na VHDL ? Poza tym PG jest nieco dalej niż WAT.

    Nauka Szkolnictwo   06 Lip 2012 00:57 Odpowiedzi: 16    Wyświetleń: 3620
  • FPGA Xilinx Spartan 3 zestaw uruchomieniowy

    W takim razie proponuję SystemVerilog'a - takie HDL'owe C++. Damn, kiedy w końcu Xilinx da wsparcie do SystemVerilog i wprowadzi VHDL-2008. SV obiecywali już 11.x, teraz obiecują w 14.x :D [url=]ISE-14-1-and-SystemVerilog Ciekawe :D

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9624
  • ALTIUM Spartan6 - FPGA generowanie pliku bit i constraint

    Witam Mam nadzieje ze dobrym dziale umieszczam ten temat ;) ... i z góry chciałbym zaznaczyć że jestem początkujący jeśli chodzi o projekty w języku Verilog i implementacji w układach FPGA. Posiadam płytkę testową Digilent Nexys3 na układzie Spartan6, Altium USB Jtag, oprogramowanie ISE 14.2 oraz Altium Designer po ostatniej aktualizacji do ver. 10.1181.24817...

    Programowalne układy logiczne   29 Paź 2012 12:56 Odpowiedzi: 0    Wyświetleń: 1302
  • [Praca] Konstruktor Elektronik

    Firma PBP OPTEL Sp. z o.o. z Wrocławia. Jesteśmy nowoczesną i dynamicznie rozwijającą się firmą - laboratorium badawczo rozwojowym. Naszą specjalizacją jest tworzenie nowych, innowacyjnych produktów, systemów pomiarowych a przede wszystkim rozwiązywanie problemów technicznych naszych klientów, poszukujemy osób, które chciałyby wykorzystać swoją wiedzę,...

    Ogłoszenia Elektronika   31 Gru 2012 22:18 Odpowiedzi: 0    Wyświetleń: 1110
  • [AVR-GCC] - avr-size nie działa

    Witam, mam taki oto problem że nie mogę zmusić avr-size do prawidłowego działania, komenda wogóle nie obsługuje przełącznika -C ani też --mcu wywalając komunikat o nierozpoznaniu owych przełączników, oto co otrzymuje po komendzie avr-size --help: [syntax=text]Usage: avr-size [option(s)] [file(s)] Displays the sizes of sections inside binary files If...

    Mikrokontrolery AVR   07 Lut 2013 19:10 Odpowiedzi: 4    Wyświetleń: 1938
  • [Zrobię] Elektronika - Projektowanie/Programowanie/Produkcja

    Witam Chciałem zaprezentować firmę 3CTE zajmującą się projektowaniem elektroniki na podstawie wytycznych klienta. W skrócie czym się zajmowaliśmy: PROJEKTOWANIE : komputery przemysłowe, tablety, komputery modułowe, moduły procesorowe, elektroniczne systemy zarządzania bezpieczeństwem, sterowniki urządzeń, urządzenia do transmisji bezprzewodowej, i inne....

    Ogłoszenia Elektronika   08 Lip 2013 23:06 Odpowiedzi: 0    Wyświetleń: 1068
  • [Zrobię] Projektowanie urządzeń elektronicznych-Schematy/PCB/Oprogramowanie

    Witam Chciałem zaprezentować firmę 3CTE zajmującą się projektowaniem elektroniki na podstawie wytycznych klienta. W skrócie czym się zajmujemy: PROJEKTOWANIE : komputery przemysłowe, tablety, komputery modułowe, moduły procesorowe, elektroniczne systemy zarządzania, sterowniki urządzeń, urządzenia do transmisji bezprzewodowej, światłowodowej, i inne....

    Projektowanie Bazar   12 Gru 2013 00:24 Odpowiedzi: 0    Wyświetleń: 1374
  • Xilinx ISE Design Suite - Testbench licznika

    Jeżeli chodzi o drgania styków to ten problem rozwiązałem już jakiś czas temu w następujący sposób: [syntax=verilog] //drgania stykow module SWITCH_CTRL(CLK, CE, S_IN, KEY_EN); //CLK systemowy //CE z preskalera //S_IN wejscie styku //KEY_EN wyjscie tak dlugo jak trzymie //HEY_UP jeden pik sygnalowy parameter l_bit = 10; input CLK, CE, S_IN; output KEY_EN;...

    Programowalne układy logiczne   12 Cze 2014 19:21 Odpowiedzi: 6    Wyświetleń: 2226
  • Prototyp procesora z 36 rdzeniami

    Im więcej rdzeni w procesorze bądź szerzej im więcej procesorów zgrupowanych ze sobą razem tym bardziej uwypukla się problem komunikacji wewnętrznej pomiędzy poszczególnymi jednostkami. W ostatnim jednak czasie grupa naukowców z Massachusetts Institute of Technology (MIT) opracowała prototyp procesora z 36 rdzeniami, w którym komunikacja pomiędzy poszczególnymi...

    Newsy   26 Cze 2014 19:50 Odpowiedzi: 8    Wyświetleń: 5031
  • VHDL - Jakie środowisko?

    Tak, płytka Terasic DE0-Nano jest bardzo dobra na początek. Można kupić dość tanio w Kamami. Cyclone IV na pokładzie daje sporo możliwości, zarówno przy projektowaniu "zwykłej logiki" chociażby w VHDL/Verilogu jak też daje możliwość pobawić się trochę w uruchomienie całego systemu mikroprocesorowego, gdzie mógłbyś połączyć programowanie w C z projektowaniem...

    Programowalne układy logiczne   23 Sie 2014 05:58 Odpowiedzi: 7    Wyświetleń: 2577
  • Android/SQLite/Eclipse - Program który będzie dodawał przypomnienia do bazy dany

    Witam. dodałem obiekt Aplikacja już się nie wysypuje, ale dane nie chcą się dodawać. Wycinek z logcat powyżej. Nie wiem co ja robię źle... Po kliknieciu pobieram dane z formularza "otwieram bazę danych" i dodaję dane do bazy danych. A z tymi metodami open i close to mam tak zrobić?? [syntax=java]public void addMem(MemBean memBean) { database = dbHandler.getWritableDatabase();...

    Programowanie   01 Paź 2014 12:52 Odpowiedzi: 5    Wyświetleń: 1812
  • [Reklama]Projektowanie urządzeń elektronicznych-Schematy/PCB/Firmware/Software

    Witam Chciałem zaprezentować firmę 3CTE zajmującą się projektowaniem elektroniki na podstawie wytycznych klienta. W skrócie czym się zajmujemy: PROJEKTOWANIE : komputery przemysłowe, tablety, komputery modułowe, moduły procesorowe, elektroniczne systemy zarządzania, sterowniki urządzeń, urządzenia do transmisji bezprzewodowej, światłowodowej, i inne....

    Projektowanie Bazar   27 Sie 2014 08:06 Odpowiedzi: 0    Wyświetleń: 1068
  • Arduino czy PLC ? Czego warto sie nauczyc ?

    Mikrokontrolery i sterowniki PLC to są dwie różne bajki. Jeżeli masz kilka niezależnych urządzeń, których pracę musisz zsynchronizować- wrzucasz PLC. Kiedy chcesz sterować jednym konkretnym urządzeniem - wrzucasz mikrokontroler. Nie znajdziesz pracy dla "programisty arduino", gdyż jest to rozwiązanie bardziej dla pasjonatów. Każda szanująca się firma...

    Nauka Szkolnictwo   06 Lut 2015 10:25 Odpowiedzi: 4    Wyświetleń: 3240
  • [Praca] Oferta pracy / Konstruktor - elektronik / Warszawa / 6 - 10 k msc.

    Witam Reprezentuję firmę ITalents, zajmującą się doradztwem personalnym i leasingiem pracowniczym. Mój klient to firma o ugruntowanej pozycji na rynku, specjalizuje się w zagadnieniach związanych z cyfrową łącznością radiową i przewodową, jak również zagadnieniami z zakresu rozpoznania radioelektronicznego, a także systemami informatycznymi zorientowanymi...

    Ogłoszenia Elektronika   27 Kwi 2015 09:55 Odpowiedzi: 0    Wyświetleń: 624
  • [Praca] Konstruktor/elektronik - Warszawa

    Witam poszukuję Konstruktora/ elektronika. Praca w Warszawie, zatrudnienie na umowę o pracę w firmie, która specjalizuje się w zagadnieniach związanych z cyfrową łącznością radiową i przewodową, jak również zagadnieniami z zakresu rozpoznania radioelektronicznego, a także systemami informatycznymi zorientowanymi na automatyzację i niezawodność oraz...

    Ogłoszenia Elektronika   25 Maj 2015 14:05 Odpowiedzi: 0    Wyświetleń: 516
  • [FPGA] - Srodowisko bez dodatków

    Odniosę się do 3 kwestii: 1. To że Quatrus ma Schematic i Pin Planner nie oznacza, że musisz z tego korzystać - tak samo jak Windows oferował swego czasu Internet Explorera nie oznaczało to, że mając Windowsa musisz z tego korzystać. Normalnie takie rzeczy pisze się w VDHL lub Verilog (zamiast Schematic'a) a jeśli coś nie tak z Pin Planner'em wg. Ciebie...

    Programowalne układy logiczne   21 Cze 2015 09:34 Odpowiedzi: 8    Wyświetleń: 2226
  • [Praca]Inżynier elektronik - Wrocław

    Firma PBP OPTEL Sp. z o.o. z Wrocławia. Jesteśmy nowoczesną i dynamicznie rozwijającą się firmą - laboratorium badawczo rozwojowym. Naszą specjalizacją jest tworzenie nowych, innowacyjnych produktów, systemów pomiarowych a przede wszystkim rozwiązywanie problemów technicznych naszych klientów, poszukujemy osób, które chciałyby wykorzystać swoją wiedzę,...

    Ogłoszenia Elektronika   31 Sie 2015 15:05 Odpowiedzi: 0    Wyświetleń: 636
  • FPGA - Spartan wykryte zatrzaski

    czy pamięć może być taka reg moze, ale w ustawieniach ise musialbys zaznaczyc SystemVerilog; jak to rozlozy syntetyzer ise - prawdopodobnie sklei w jeden adres numer kolumny i wiersza; czy przesylanie na tych samych drutach adresu i danych jest dopuszczalne z punktu widzenia Xmegi? innymi slowy, czy Xmega moze postawic swoje porty ktorymi sie komunikuje...

    Programowalne układy logiczne   20 Lis 2015 08:08 Odpowiedzi: 7    Wyświetleń: 2355
  • [Praca] Projektant analogowych/cyfrowych układów scalonych

    Projektant analogowych/cyfrowych układów scalonych Miejsce Pracy: Kraków Silicon Creations to firma założona w 2006 roku w Atlancie (USA), zajmująca się projektowaniem analogowych I mieszanych IP do układów scalonych takich jak pętle fazowe PLL, układy SerDes czy szybkie różnicowe interfejsy danych LVDS w różnych procesach od 16nm do 180nm. W 2011...

    Ogłoszenia Elektronika   29 Sty 2016 15:21 Odpowiedzi: 0    Wyświetleń: 1308
  • Eclipse Workbench, jak dodać generację pliku assemblera?

    Dobra, już się poprawiam. Od razu mówię, że nie znam się tak bardzo na dyrektywach kompilatora i poruszam się po omacku, dlatego frazę Freddiego wpisałem tak: arm-none-eabi-objcopy -mfloat-abi=hard -mfpu=fpv4-sp-d16 -O binary "${BuildArtifactFileBaseName}.elf" "${BuildArtifactFileBaseName}.bin"; arm-none-eabi-size "${BuildArtifactFileName}" co zaowocowało...

    Mikrokontrolery   18 Mar 2017 21:45 Odpowiedzi: 23    Wyświetleń: 960
  • Hydepark działu mikrokontrolerów

    Dobry inżynier, z doświadczeniem rzędu 5-10 lat, czy to elektronik, czy programista/automatyk, czy mechanik ze specjalizacją w systemach precyzyjnych, potrafiący pracować w zespole, nie marudzący, ale kreatywny, bez problemu może zarobić w Polsce kwoty, o których pisałem. Wiem, bo też kiedyś tyle zarabiałem. Gdzie? Na przykład trochę na północ od Poznania,...

    Mikrokontrolery   23 Sie 2021 16:12 Odpowiedzi: 695    Wyświetleń: 94311