REKLAMA

wyzwalać impuls

Znaleziono około 2435 wyników dla: wyzwalać impuls
  • ne555 i podtrzymanie impulsu.

    Witam. Zbudowalem uklad jak na zalaczonym schemacie. Jednak nie takiego efektu oczekiwalem. W tej chwili uklad dziala tak, ze po podaniu zasilania odrazu na wyjsciu (3) kostki pojawia sie impuls 30 sekundowy. Wcisniecie przycisku wyzwala kolejne impulsy. I tu moje pytanie brzmi: czy da sie jakos zrobic aby impulsy byly wyzwalane tylko przyciskiem tak...

    Początkujący Elektronicy   19 Lis 2008 17:55 Odpowiedzi: 6    Wyświetleń: 1763
  • Licznik impulsów

    Jeśli to krańcówka mechaniczna to zapewne za zliczanie nadmiarowe odpowiedzialne są drgania styku. Nie jestem elektronikiem , ale w takich wypadkach ja stosuję np. fabryczny zegar czasowy z wyjściem tranzystorowym ustawiony na wyzwolenie impulsowe. Pojedyńczy impuls z krańcówki wyzwala impuls zegarowy ustawiony na określony czas. W tym czasie impulsy...

    Elektro Maszyny i Urządzenia   27 Wrz 2004 06:39 Odpowiedzi: 5    Wyświetleń: 3546
  • REKLAMA
  • Zamiana sygnału prostokątnego (impulsowego) na ciągły

    Witam! Zastosuj "555" - w jego nocie aplikacyjnej jest takie "coś" - detekcja brakujacego impulsu. Twoje impulsy będą go stale wyzwalać, a jak impulsy nie przyjdą, to po czasie zadanym przez 1 opornik i 1 kondensator układ się przełączy i zmieni stan na swoim wyjściu, gdzie możesz podpiąć LED z opornikiem. Sygnalizowany może być albo stan aktywności,...

    Początkujący Naprawy   21 Lip 2016 06:27 Odpowiedzi: 10    Wyświetleń: 3568
  • [Atgega8][C] Obsługa impulsatora (enkodera) obrotowego

    Ja zrobiłem tak, na przerwaniu. Przerwanie wyzwalane kazdym zboczem, impulsator przykładowo na pinach 3 i 4 portu D. ISR (INT1_vect) { _delay_ms(1); u08 tmp = PIND; if(bit_is_set(tmp,3)) { if(bit_is_set(tmp,4)) tact = LEFT; if(bit_is_clear(tmp,4)) tact = RIGHT; } if(bit_is_clear(tmp,3))....

    Mikrokontrolery AVR   27 Lut 2010 22:28 Odpowiedzi: 17    Wyświetleń: 9700
  • REKLAMA
  • Transformator wyzwalający palnik

    Wiem na jakiej zasadzie to działa i z tym problemów nie mam. Chciałem jednak wykorzystać ten rodzaj transformatora. W pająku działa to dobrze i wyzwala bez problemu. Początki i końce uzwojeń są podłączone tak jak w zastosowaniu oryginalnym, poniewaz IFK120 jest wyzwalany dodatnim impulsem. Chodzi mi tylko o to czy wolne końce trzeba obciążać, żeby nie...

    Początkujący Elektronicy   31 Gru 2008 10:16 Odpowiedzi: 3    Wyświetleń: 1326
  • ATmega16 w C - zliczanie impulsow TC0

    Poczytalem sobie troche noty aplikacyjnej oraz wiadomosci na elektrodzie i jednak nie znalazlem tego, co potrzebowalem badz nie potrafilem doczytac :) Procesor ma za zadanie zliczac impulsy z przetwornika U/f w okresie jedno-sekundowym i wyswietlac na LCD. jesli dobrze zrozumialem to: - TC0 ma byc ustawiony jako wyjscie - TCCR0 = 0b00000110 - zewnetrzny...

    Mikrokontrolery   04 Maj 2007 22:07 Odpowiedzi: 6    Wyświetleń: 2066
  • Układ czasowy z regulacją opuźnienia i czasu trwania impulsu

    Witam Poszukuje gotowego rozwiązania układu czasowego (najlepiej na szynę DIN) który będzie działał następująco: 1. Podaje sygnał sterujący przekaźnik zaczyna odliczać nastawioną(regulacja bardzo dokładna) wartość czasu 2. Styki zostają załączone na ustalony czas (regulowany) 3. Przekaźnik wchodzi w stan oczekiwania na następny impuls sterujący Zaznaczam...

    Automatyka Przemysłowa   13 Maj 2012 21:46 Odpowiedzi: 3    Wyświetleń: 1620
  • Impulsator, sygnał położenia wału motoroweru Romet Chart

    Chcę się dowiedzieć jaki typ czujnika położenia wału jest zastosowany w motorowerze Romet CHart. Z tego co się zorientowałem nie jest to czujnik Halla, bo z niego zawsze wychodzą 3 kable, a z impulsatora charta 2. Domyślam się że jest to czujnik magneto-indukcyjny, co Wy o tym sądzicie? Na jakiej zasadzie działa ten czujnik w charcie, jak wyzwala impuls...

    Motocykle, Motorowery   27 Paź 2010 05:21 Odpowiedzi: 5    Wyświetleń: 2403
  • REKLAMA
  • Przekaźnik i dwa impulsy w odstępie ok 1 sek

    Nie bardzo zrozumiałem co napisałeś. Piszesz że podajesz napięcie na układ przez ok. 30 sek. i ma on wyzwalać dwa impulsy co zajmie mu około sekundy. To znaczy że od razu po załączeniu ma on od razu to robić czy po jakimś przypadkowym czasie generować te impulsy. I napisałeś że ma to robić aż to momentu zaniku napięcia, czyli przez cały czas? Jedno...

    Początkujący Naprawy   30 Mar 2011 02:17 Odpowiedzi: 5    Wyświetleń: 1940
  • Wygenerowanie impulsu

    Jeżeli potrzebujesz generatora monostabilnego to masz tu przykład (generuje impuls 5s, wyzwalany niskim stanem na 2):

    Schematu/instrukcji/artykułu   29 Paź 2004 19:15 Odpowiedzi: 1    Wyświetleń: 807
  • impulsowy wykrywacz metali

    Coś jest trochę pomylone z tymi oscylogramami: na nóżce 2 US5 napięcie powinno być 6.0V przed impulsem (tu impuls = włączenie T1) 5.4V w czasie impulsu (do takiego obcina je dioda D3), 6.7V po impulsie, kiedy jest przepięcie na cewce (obcięcie przez diodę D2), a na [url=]rysunku 2 jest odpowiednio 6.7V, 6V, 8V. Następnie, napięcie na nóżce 6 powinno...

    Inne Serwis   14 Gru 2010 22:39 Odpowiedzi: 35    Wyświetleń: 12505
  • Wyliczenie energii impulsu impulsowego pastucha elektr.

    Pojemność masz podaną, napięcie można zmierzyć blokując wyzwalanie tyrystora, który rozładowuje C przez jakiś dławik... Oj, lepiej nie: kondensator jest ładowany przez przetwornicę, która pracuje stale - zablokowanie wyzwalania tyrystora spowoduje, że naładuje do wyższego napięcia... Podłączyć poprzez diodę dodatkowy kondensator (o dużo mniejszej pojemności,...

    Projektowanie Układów   31 Maj 2020 10:33 Odpowiedzi: 13    Wyświetleń: 6115
  • REKLAMA
  • Przerzutnik sterowany nieimpulsowo za pomocą diody LED

    Mam zapytanie do Szanownych Elektroników. Problem jest z pozoru prosty-przerzutnik sterowany jest zapaleniem się diody LED (dioda świeci i dioda zgaszona). Praca układu powinna (obrazowo) tak wyglądać. Zapalam światło w pokoju układ reaguje w ten sposób że zapala się w nim na 1 sekundę dioda zielona po czym gaśnie, gaszę światło w pokoju, zapala się...

    Początkujący Elektronicy   30 Kwi 2009 12:09 Odpowiedzi: 2    Wyświetleń: 1281
  • Jak zmierzyć prąd "zimnego startu" zasilacza impulsowego?

    Najlepiej jakiś analogowo cyfrowy lub cyfrowy. Podłączasz zasilacze do jednego źródła zasilania i wykorzystując np. bocznik podłączasz oscyloskop do układu. Załączasz jednocześnie zasilacze i rejestrujesz przebieg (oscyloskopy mają opcje zapamiętywania przebiegów, wyzwalania impulsem itp., itd.) Odczytując napięcie na wyświetlaczu oscyloskopu i obliczasz...

    Elektryka Pomiary   19 Wrz 2014 06:56 Odpowiedzi: 6    Wyświetleń: 2598
  • V8 - Wyzwalanie iskry impulsatorem

    Witam Potrzebuję waszej pomocy Do przerobienia mam stary silnik V8 ze stykowym rozdzielaczem zapłonu. Chcę przerobić go na elektroniczny zapłon bezstykowy z wzmacniaczem zapłonu Co do samego wzmacniacza zapłonu (modułu) zastosowałem jeden z prostszych Niestety wyzwalanie iskry w tym module realizowane jest za pomocą prostokątnego sygnału z hallotrona...

    Samochody Elektryka i elektronika   29 Paź 2015 22:49 Odpowiedzi: 3    Wyświetleń: 1497
  • Diamenty wykorzystane do generowania ultrakrótkich impulsów terahercowych

    Pojawienie się intensywnych, ultrakrótkich, spójnych impulsów świetlnych zrewolucjonizowało dziedzinę spektroskopii. Umożliwiają łatwą obserwację różnych nieliniowych efektów optycznych. A także ułatwiają charakteryzację materiałów za pomocą nieliniowej spektroskopii optycznej. Ponadto zapewniają środki do silnego selektywnego wzbudzania materiałów...

    Newsy   10 Lut 2023 10:35 Odpowiedzi: 0    Wyświetleń: 501
  • Multiwibrator wyzwalany krotkim impulsem

    Witam potrzebuje uklad ktory pod wplywem krotkiego impulsu 1us a wlasciwie przekroczenia pewnej wartosci napiecia bedzie wystawiawial dluzszy sygnal na wyjsciu. Uklad ma spelniac konkretnie nastepujace zadanie: Na wejsciu przetwornika A/C zmienia sie wolno napiecie w zakresie 0 -3,9 V. W pewnym momencie nastepuje przekroczenie napiecia 5 V. Nastepuje...

    Projektowanie Układów   25 Maj 2004 23:45 Odpowiedzi: 6    Wyświetleń: 1989
  • Czasówka wyzwalana impulsem - schemat.

    Witam potrzebuję zrobić czasówkę wyzwalaną impulsem z regulacją czasu gdzieś od 1s do 30s , wyjście przekaźnikowe standart . Proszę o jakiś pewny schemat . zasilanie 12 lub 24 DC

    Początkujący Elektronicy   10 Sie 2007 22:43 Odpowiedzi: 1    Wyświetleń: 1876
  • Generator impulsu na przekaźnikach

    Potrzebuję zrobić generator impulsu o długości równej odległości pomiędzy impulsem wyzwalającym a impulsem kasującym. Impulsy wyzwalający i kasujący są z dwóch oddzielnych linii. Sęk w tym, że ma to być na przekaźnikach. Najlepiej gdyby dało się na dwóch, max. 3. Nic mi nie przychodzi do głowy jak to zrobić. Może ma ktoś pomysł na taki układzik?

    Projektowanie Układów   18 Paź 2008 09:14 Odpowiedzi: 18    Wyświetleń: 5352
  • Trasformator wyzwalający/zapłonowy/impulsowy

    Witam! Szukam transformatora wyzwalającego do lampy stroboskopowej. Ma to być transformator impulsowy. Czy można użyć jakiegoś innego trafa, albo jeszcze czegoś innego do wyzwalania palnika :wink: ? Czytałem, że można użyć transformatora 220V/12V czy jest taka możliwość ? Jeśli nie to jakiego użyć transformatora impulsowego - TZ-1 0.3kV/11kV (koszt...

    Projektowanie Układów   09 Lis 2008 14:34 Odpowiedzi: 5    Wyświetleń: 2010
  • Układ wyzwalania impulsów elektrycznych

    Witam Proszę o pomoc w znalezieniu schematu urządzenia, które wyzwoli impuls elektryczny z akumulatora pod wpływem sygnału z czujnika np: położenia wału. Obracające się koło z umieszczonym na jego obwodzie magnesem, który przy każdym obrocie wyzwala w czujniku elektromagnetycznym sygnał, ten z kolei podawany jest do układu, który z kolei powoduje podanie...

    Projektowanie Układów   21 Gru 2008 00:22 Odpowiedzi: 0    Wyświetleń: 825
  • Wyzwalanie impulsów, przejście przez zero

    Witam Wszystkich. Chciał bym uzyskać wskazówki dotyczące sprawy połączenia układu ne555 oraz układu przejścia przez zero. Założenie jest takie mam układ ne555 którym reguluje czas trwania impulsu otwierającego triak i teraz problem w tym żeby timer wysyłał impuls tylko w chwilach przejścia przez zero sinusoidy. Więc wykrywanie zera zrobione najprościej...

    Początkujący Naprawy   01 Cze 2011 20:41 Odpowiedzi: 0    Wyświetleń: 1104
  • Pastuch EBS-872/M - Brak wyzwalania iskry na wyjściu

    Witam. Jak w temacie problem jest z wyzwalaniem impulsu na wyjściu- brak iskry. Ciekawym objawem jest to iż przy pomiarze napięć np. na kondensatorze C4 -33n układ zaczyna nagle wyzwalać i pojawia się iskra. Dotykając nawet jednej nóżki tego kondensatorka tez następuje wyzwolenie , i tak jest tez z innymi elementami na płytce. Napięcie na C4 jest ok...

    Inne Serwis   04 Maj 2022 07:21 Odpowiedzi: 10    Wyświetleń: 10701
  • Przełącznik bistabilny wyzwalany impulsem - bez elementów aktywnych.

    Wiem, wiem - jest 21 wiek, są procesory, układy scalone, tranzystory - ale czy zawsze niezbędne? Przedstawiam projekt sterowanego impulsem przełącznika, którego konstrukcja nie wymaga jednego nawet tranzystora. Układ powstał jako zapotrzebowanie na wydajny prądowo przełącznik wyzwalany impulsem, permanentnie odporny na zakłócenia od strony zasilania...

    DIY Poczekalnia   27 Gru 2013 22:13 Odpowiedzi: 42    Wyświetleń: 46563
  • Przełącznik/ aktywator wyzwalany impulsem do CarAudio.

    Cześć. Potrzebuję urządzonka/scalaka, który będzie w stanie zewrzeć dwa styki i w tym samym czasie kolejne dwa styki rozłączyć po podaniu krótkiego napięcia. Najlepiej gdyby miał dwa sygnały wejściowe, tzn. mógłby być sterowany z dwóch źródeł. Chcę wsadzić transmiter FM do radia zamiast kasety i zauważyłem, że kaseta zwiera dwa styki i kolejne dwa rozłącza,...

    Początkujący Naprawy   04 Lis 2013 14:08 Odpowiedzi: 5    Wyświetleń: 1188
  • układ czasowy wyzwalany impulsem

    Potrzebuję zrobić układ, który po podaniu impulsu(dodatni albo ujemny) odliczy około 25-30 sekund i poda na wyjście impuls ok 0,5sek (tez może być dodatni albo ujemny). Myślałem o monostabilnym na ne555 ale nie wiem jak zrobić aby na wyjściu pojawił sie impuls po upływie 30sek a nie na 30sek. układ będzie zasilany z 12V a wyzwalać go będzie zewnętrzny...

    Początkujący Elektronicy   11 Gru 2013 02:40 Odpowiedzi: 8    Wyświetleń: 2235
  • Wysterowanie modułu CDI wyzwalanego impulsem symetrycznym

    Witam Sytuacja wygląda następująco: Posiadam moduł zapłonowy CDI. Wyzwalanie iskry odbywa się za pomocą podania symetrycznego(zero-minus-zero-plus-zero) impulsu na pin wyzwalania. Normalnie impuls generowany jest przez cewkę znajdującą się w pobliżu wału, jednak ja chciałem wyzwolić iskrę za pomocą kontrolera. Problem jest taki że nie dysponuje napięciem...

    Mikrokontrolery   23 Cze 2014 10:10 Odpowiedzi: 4    Wyświetleń: 1473
  • 27C210 - Na czym zrobić generator impulsu programującego?

    A ja pisałem: przerzutnik monostabilny, albo procesor (do jednego impulsu to raczej przerzutnik, ale jeśli masz generować komplet sygnałów, to przerzutników byłoby sporo, a procesor wystarczy jeden). Jeśli chodzi o przerzutnik, to są takie układy, jak NE555, 74LS(albo HCT)121/122/123/221/423, CD4047, CD4098, CD4528, CD4538... Z tego NE555 na pewno jest...

    Projektowanie Układów   10 Lut 2015 19:01 Odpowiedzi: 13    Wyświetleń: 1650
  • Poszukuje moduł powiadamiania gsm wyzwalany krótkim impulsem +/-

    Witam, Czy istnieje jakiś prosty moduł GSM, który po otrzymaniu impulsu + lub - (najlepiej 12v) zadzwoni lub wyśle sms-a na wcześniej zdefiniowany numer? Znalazłem moduły mgsm 2.0 i inne, ale do niego trzeba podłączyć jakaś starą nokie przez którą moduł będzie dzwonić + mnóstwo (jak dla mnie) zbędnych sygnałów. Są też jakieś satel-owskie cuda za kilkaset...

    Początkujący Elektronicy   19 Sty 2016 12:18 Odpowiedzi: 2    Wyświetleń: 900
  • Układ czasowy - Sterujący lampą nad bramą wyzwalany impulsem

    Witam Nie wiem czy dobry dział ale.. Szukałem długo ale nie znalazłem nic co by się u mnie sprawdziło Posiadam dzwonek legrand [bezpieczny] z własnym trafem 9V Dzwonek jest zasilany z 230 V i znajduje się w korytarzu natomiast przycisk znajduje się na bramie oddalonej o kilkadziesiąt metrów Potrzebował bym układ który po wciśnięciu przycisku dzwonka...

    Elektryka Dla Każdego   06 Mar 2016 20:40 Odpowiedzi: 22    Wyświetleń: 1491
  • Układ wyzwalania dwóch impulsów

    Proszę o pomoc, poszukuje schematu układu, który jednym zewnętrznym impulsem wytwarza dwa i tylko dwa krótkie impulsy w krótkim odstępie czasu sterując np przekaźnikiem kontaktronowym. Np: na układzie 74123 lub innym.

    Początkujący Elektronicy   31 Paź 2016 16:03 Odpowiedzi: 4    Wyświetleń: 597
  • Szukam schematu do wyzwalania przekaźnika impulsem

    Witam, mam dzwonek bezprzewodowy i chciałbym w odbiorniku zamiast głośnika podłączyć przekaźnik który by załączał przekaźnik, a rozłączał tylko wtedy gdy nacisnę przycisk w odbiorniku. Na głośniku jest napięcie do 1V.

    Początkujący Elektronicy   23 Gru 2017 21:27 Odpowiedzi: 14    Wyświetleń: 1242
  • Oscyloskop - jak zrealizować wyzwalanie impulsem

    Witam, mam w domu taki zabytek jak oscyloskop C1-73. Robię urządzenie do mierzenia filtrów kwarcowych (sonda na AD8307 + DDS + Arduino). Coś jak tutaj W zasadzie wszystko idzie w dobrym kierunku, ale nie mam pojęcia jak zrobić wyzwalanie oscyloskopu np jakimś impulsem z arduino. Chciałbym żeby "plamka" czekała aż dostanie sygnał i dopiero wtedy startowała....

    Warsztat elektronika   20 Sty 2018 01:37 Odpowiedzi: 5    Wyświetleń: 1119
  • Wyzwalanie impulsu co kilka sygnałów

    Cześć potrzebuje układu który co kilka sygnałów ( 6 dokładnie) wyzwalał by z siebie sygnał , układ byłby sterowany czujnikiem halla . sygnał wychodzący z tego układu będzie sterował układem zapłonowym ac-cdi 2t układ musi być szybki ponieważ na jeden obrót wału będzie 6 sygnałów . pozdrawiam

    Inne Szukam   07 Lut 2018 12:26 Odpowiedzi: 2    Wyświetleń: 390
  • Elektryzator EBS-2000 nie wyzwala impulsów

    Witam, mam problem z tym elektryzatorem, ktoś go wcześniej przerobił pomijając ładowanie kondensatorów, ja przerobiłem go do oryginału, wszystko działało dobrze dopóki nie zrobiłem zwarcia gdy podłączałem diodę sygnalizacyjną. Przetwornica działa, ale jak odłącze R6 od bazy T4, kiedy podłączę to Trystor wyzwala impuls, ale tylko raz i od tego momentu...

    Inne Serwis   06 Maj 2021 22:20 Odpowiedzi: 16    Wyświetleń: 2679
  • Wyzwalany impulsem przełącznik sekwencyjny bez aktywnej elektroniki

    Portal "Electronic Design" opublikował w styczniu artykuł dotyczący konstrukcji sekwencyjnego przełącznika opartego na przekaźnikach bistabilnych, który wcześniej gościł na łamach forum "Elektroda". Zmodernizowana wersja przełącznika jest całkowicie odporna na zakłócenia, pamięta stan pomimo zaniku zasilania i w czasie czuwania nie pobiera energii (pomijając...

    Newsy   15 Lut 2019 12:49 Odpowiedzi: 0    Wyświetleń: 1032
  • Jak skrócić czas wyzwalania impulsu czujki ruchu PIR

    Witam. Mam taką czujkę podczerwieni jak na zdjęciach, na 12V. Proszę o pomoc jak możnaby w niej skrócić czas wyzwalania/ impulsu? Obecnie działa w zakresie ok 11s-130s (regulacja czarnym potencjometrem u góry) a potrzebuję , aby działała ok 2-3s. Czujki będą docelowo dwie i będą częścią większego układu który buduję w oparciu o schemat z internetu,...

    Początkujący Elektronicy   14 Cze 2020 22:35 Odpowiedzi: 17    Wyświetleń: 813
  • Budowa układu do zapisywania bajtu na pamięci SRAM z użyciem rejestru i sygnału wyzwalającego

    Posiadam pamięć SRAM i chciałbym zbudować taki układ żeby go wykorzystaćc rejestr bajtu. Zatem chciałbym naraz wysłać bajt do zapisania oraz sygnał wyzwalający zapis. Wiem jednak, że WE musi być wprowadzony (stan niski) dopiero pewien czas po wprowadzeniu adresu i danych do zapisania, a skończyć się musi (przejść na stan wysoki) przzed końcem podawania...

    Mikrokontrolery Początkujący   28 Mar 2022 07:15 Odpowiedzi: 3    Wyświetleń: 456
  • Poszukiwanie układu generującego dodatni impuls po przełączeniu do masy

    Wymyśliłem coś takiego Z tym układem są problemy: 1. Zrobisz połączenie wejścia z masą, MOSFET się włączy, naładuje górny kondensator; rozłączysz, połączysz ponownie - kondensator będzie już naładowany, impulsu nie będzie. 2. Rozłączasz, opornik podaje '+' na bramkę - ale tam jest obciążenie, na oporniku 4,7kΩ dostaniesz mniej, niż 1/3 napięcia...

    Projektowanie i Tworzenie Po godzinach   29 Maj 2022 10:11 Odpowiedzi: 52    Wyświetleń: 2088
  • Układ wyzwalania impulsu z kondensatora 5V i przekaźnika po 45 min ładowania

    Dzień dobry Potrzebuje układu który po naładowaniu kondensatora (ładowanie około 45 minut) napięciem 5v (stałe napięcie) będzie wyzwalał to napięcie w postaci impulsu na przekaźnik. Jakiś układ czasowy na max 5 v też by mogł być.

    Początkujący Elektronicy   15 Sie 2022 22:20 Odpowiedzi: 13    Wyświetleń: 225
  • Jak zrobić układ wyzwalający, dający impulsy 3xfo? Powielacz częstotliwości.

    Witam, ostatnio projektujac uklad natknalem sie na problem ktory najprosciej da sie rozwiazac jezeli znajde uklad spelniajacy takie zalozenia: - ma dzialac w domenie cyfrowej (5V - TTL) - powiela czestotliwosc przebiegu 3x - czestotliwosc moze sie zmieniac orientacyjnie w zakresie 1-30kHz - przebiegi wejsciowe beda zlozone ale nie musimy sie martwic...

    Projektowanie Układów   24 Sty 2023 07:13 Odpowiedzi: 24    Wyświetleń: 294
  • transformator impulsowy(stroboskop)

    ten metal między wyprowadzeniami to właśnie elektroda wyzwalająca

    Optoelektronika   08 Mar 2005 17:23 Odpowiedzi: 5    Wyświetleń: 2064
  • Bardzo silny impuls elektro-magnetyczny

    Witam! Chaciałem się dowiedzieć jak zbudować urządzenie, które wyzwalałoby bardzo silny impuls magnetyczny (elektro-magnetyczny) - czyli bardzo dużą energię - na pewną obległość np.ok.1 metr. Nawet sam pomysł już by mi pomógł (układ elektroniczny już sam bym wymyślił). Konkretnie chodzi mi o takie coś, co by "nadawało" impuls elektro-magnetyczny w jednym...

    Projektowanie Układów   12 Cze 2005 20:19 Odpowiedzi: 9    Wyświetleń: 8709
  • O triakach, snuber'y samoczynne wyzwalanie itp

    Do pracy jako łącznik stosuj MOC 3043, 63. Myślę że nie planujesz regulacji fazowej silnika 800W Najlepiej zakłócenia gaś tam gdzie powstają -czyli na kluczach w migomacie. Filtracja zasilania -później.Triaka wyzwala ci odpowiedni impuls na bramce lub przepięcie na anodzie. Poczytaj na stronach ST o zakłóceniach i doborze gasików. Zastanów się co to...

    Początkujący Naprawy   19 Gru 2011 21:23 Odpowiedzi: 3    Wyświetleń: 2880
  • Jak zbudować wyzwalacz impulsów sterowany sygnałem audio 20Hz-10kHz?

    Witam, zadanie mam następujące: wyzwolić w możliwie dokładny sposób krótki impuls (napięcie ze stanu 0 do 1 i ponownie 0) z regulowanym czasem zmiany (może być jednorazowo) na podstawie sygnału audio z zakresy 20Hz-10kHz. Sygnał audio to sinusoida o różnym poziomie i częstotliwości. Wyzwolenie może nastąpić na szczycie sinusoidy (tutaj potrzeba dokładnie...

    Projektowanie Układów   16 Lis 2019 21:29 Odpowiedzi: 4    Wyświetleń: 579
  • Wykrywanie 230V na stm32 - uzyskanie stałego impulsu

    Albo wyzwalać tymi impulsami np. resetowanie timera. Wystąpił overflow = zanik impulsów na wejściu.

    Mikrokontrolery   01 Paź 2019 19:22 Odpowiedzi: 5    Wyświetleń: 639
  • Dobór kondensatora do elektromagnesu 12V 0,5kg / 0,6A dla impulsów kilkunastu na sekundę

    Chciałbym też aby kondensator ładował się dość szybko, aby dał powiedzmy 15-20 takich impulsów na sekundę. 20 impulsów na sekundę to częstotliwość 20Hz. Samym kondensatorem raczej nic nie załatwisz. Musisz mieć układ wyzwalający impuls i przerywający go po określonym czasie. Tak wiem. Mam układ na ne555 z potencjometrem, który działa, jednak użyłem...

    Początkujący Elektronicy   19 Kwi 2021 21:42 Odpowiedzi: 21    Wyświetleń: 774
  • Wzmacniacz impulsów wysokiego napięcia projekt (impulsy zarówno + jak i -)

    Witam, Wysokie napięcie ma wyzwalać kolejny impuls do wzmacniacz który będzie generował impuls rzędu kV. Głównym zadaniem takiego impulsu byłoby załączanie tego kolejnego wzmacniacza w odpowiednim momencie. Generalnie mój zamysł to sterownik który podaje napięcie na bramkę mosfetów i dwa mosfety 100-300V. Jest napięcie na bramce i mosfet-y się załączają...

    Projektowanie Układów   06 Gru 2022 08:39 Odpowiedzi: 29    Wyświetleń: 558
  • Pomiar prędkości obrotowej impulsatora na INT0 i INT1

    Wróciłem do rozgrzebanego projektu i aktualnie walczę z obsługą impulsatora. Użyty procesor to ATMEGA 16 z zewnętrznym taktowaniem 16MHz. PD2 (INT0), PD3(INT1) są skonfigurowane jako wejścia z podciągnięciem do 1 i do nich podłączone są piny impulsatora odpowiednio PD2 A i PD3 B (patrz załączony plik pdf). W przerwaniach chciałbym zliczać liczbę opadających...

    Mikrokontrolery AVR   16 Mar 2017 09:57 Odpowiedzi: 10    Wyświetleń: 1143
  • Lawinowy generator impulsowy o bardzo szybkich zboczach

    Ostatnio zaciekawił mnie temat generatorów szybkich impulsów. Do zabawy oczywistym wyborem jest generator lawinowy z powodu prostoty. Parę linków, niestety po polsku jak zwykle bardzo mało materiałów. Andrzej Doniczka: Wikipedia: Dave Jones: T.K. Hareendran: Jay_Diddy_B: Układ pana Jay Diddy jest zdecydowanie zbyt ambitny* na początek, więc na zacznijmy...

    DIY Konstrukcje   28 Mar 2024 20:17 Odpowiedzi: 59    Wyświetleń: 6204
  • Yamaha TZR Belgrada spalony impulsator

    Po pierwsze wielkość iskry nie zależy od "sprawności" impulsatora. Impulsator albo daje wystarczający impuls napięcia i iskra jest albo nie daje i jej nie ma. Jak impulsy są "na granicy" to iskra jest nieciągła. Wypadają zapłony. Z resztą dobry impulsator wyzwala iskrę już przy małej prędkości obrotowej wału. Impulsatory rzadko się psują same z siebie....

    Motocykle, Motorowery   26 Lip 2011 07:15 Odpowiedzi: 6    Wyświetleń: 6427
  • Atmega8/16... INT0 jak poprawnie uruchomić licznik impulsów...

    Właśnie mam już cały program gotowy w bascomie , nie umiem w tej chwili na tyle dobrze C żeby napisać obsługę PCF, dwóch DS18B20 plus MPX4115. Także chodzi właśnie mi tylko o rozwiązanie pomiaru ciśnienia co 5-10 minut. Ok doszedłem wkońcu jak to zrobić . Skoro program spełnia oczekiwania programisty, to musi byc dobry! Niemniej jednak te 3 przedstawione...

    Mikrokontrolery Początkujący   10 Maj 2013 06:42 Odpowiedzi: 4    Wyświetleń: 1965
  • jak otrzymać dwa impulsy dostarczając jeden

    Jest taki układ scalony 74123 (dostępny również w wersjach, które żrą mniej prądu: LS, HCT, CMOS - ten ostatni ma inną nazwę, chyba CD4528, ponoć CD4047 też się nadaje - trzeba sprawdzić w katalogu), zawiera dwa generatory impulsu. Do takiego generatora podłączasz opornik i kondensator - od nich będzie zależeć czas (w katalogu masz wzór, informację...

    Projektowanie Układów   11 Wrz 2009 22:08 Odpowiedzi: 6    Wyświetleń: 2769
  • Generator impulsow

    witam, czy ktoś zna układ, który generowałby na wyjściu impuls dodatni na poziomie TTL o długości trwania ok 0.5s (nie musi byc to szybki generator)... wazne, zeby reagowala na skoki napiecia, tak zeby kazda zmiana stanu powodowala impuls... jezeli ktos zna cos takiego to bardzo dziekuje za pomoc... Obejrzyj sobie scalaki 74121, 74123, 74221 - to są...

    Projektowanie Układów   22 Lis 2005 00:45 Odpowiedzi: 2    Wyświetleń: 1568
  • Detektor kierunku obrotów impulsatora.

    Jeśli to sygnały kwadraturowe (jak w optycznych enkoderach, np. HEDR-5420), wystarczy podać jeden sygnał na CK przerzutnika typu D wyzwalanego zboczem, a drugie na wejście D. Na wyjściu Q masz Lo albo Hi w zależności od kierunku. A dalej już wiesz... :)

    Projektowanie Układów   21 Maj 2005 15:00 Odpowiedzi: 4    Wyświetleń: 2692
  • Atmega16 czas trwania impulsu.

    Racja pubus Tak też zrobiłem. Zamieszcze kod, może komuś się przyda: #include <avr/io.h> #include <avr/pgmspace.h> #include <stdlib.h> #include <stdio.h> #include <stdbool.h> #include <math.h> #include <string.h> #include <avr/interrupt.h> //ATMega16 Q=4MHz #define tau0 87;//stała czasowa timera0, dla...

    Mikrokontrolery   23 Lis 2006 21:03 Odpowiedzi: 5    Wyświetleń: 5671
  • błędna obsługa przerwania INT1 - ustalenia szerokości impuls

    Witam. Tworzę mały projekcik i natrafiłem na pewną zagwózdkę. Na początku wprowadzenie co układ ma robić i jak działać. Układ składa się z części analogowej, tzn. dwóch detektorów tonu (LM567) odpowiedzialnych za detekcję "bitów" łącza fizycznego. Chodzi o to, że rozpoznają "swoją" częstotliwość i gdy stwierdzą zgodność z obwodem czasowym, na swoim...

    Mikrokontrolery AVR   01 Sie 2009 13:35 Odpowiedzi: 1    Wyświetleń: 1871
  • Skuter, chiński. - Zapłon bez impulsatora.

    Prawdopodobnie padł tyrystor w tym module. Wygląda na to, że jest to zapłon cdi ładowany i wyzwalany tą samą cewką. Miałem podobny przypadek w chińskim agregacie prądotwórczym. Tu jest schemat takiego modułu

    Motocykle, Motorowery   19 Lis 2017 15:13 Odpowiedzi: 11    Wyświetleń: 4284
  • Elektryzator SEC2500 - zanikające impulsy

    Witam. Elektryzator ogólnie "działa" w sensie, że porządnie kopie. Problem jest z zanikaniem impulsów. Po włączeniu do zasilania pochodzi kilka sekund i przerwa, potem znowu kilka piknięć i znowu przerwa, czasami tylko raz pyknie i znowu przerwa (kilkanaście sekund). Podmieniałem tyrystory - nic to nie dało. Jak widać na zdjęciu podmieniłem diody ładujące...

    Inne Serwis   29 Kwi 2018 17:12 Odpowiedzi: 3    Wyświetleń: 672
  • Zywotnosc transformatora impulsowego w jablu J-23 (Strobol)

    Czesc. Ostatnio zmontowalem kita J-23 firmy jabel. Wiem, ze powinienem zmienic polowe rzeczy, m.in. kondensatory elektrolityczne, lecz nie w tym teraz problem. Problem tyczy sie TRANSFORMATORA IMPULSOWEGO. Juz drugi raz go musze wymienic. Nie wyzwala mi sie palnik, a sam transformator pyka (cicho) w tempie zaleznym od ustawienia potencjometru. Jest...

    Projektowanie Układów   25 Gru 2004 22:59 Odpowiedzi: 6    Wyświetleń: 1386
  • Nietypowa bramka AND. Sygnał na wyjściu powinien być impulsem.

    Opisałeś działanie przerzutnika typu D. Sygnał B przepisuje na wyjście Q stan A. Żeby na wyjsciu był impuls, potrzebny jest dodatkowo jakiś monoflop wyzwalany dodatnim zboczem. pozdr.

    Projektowanie Układów   17 Lut 2007 23:27 Odpowiedzi: 12    Wyświetleń: 2675
  • Projekt uniwersalnego zasilacza impulsowego.

    Kupiłem oscyloskop i dzięki temu opanowałem problem ponownego wyzwalania. Z niezrozumiałych powodów UC3843 ma niepewnie działający przerzutnik RS w strukturze i potrafi ponownie wyzwolić w tym samym cyklu :O Uczciwy UC3843, od TI. Nie obyło się bez dymu - jako złącza z płytki do oscyloskopu zastosowałem goldpiny i przez przypadek zwarłem nóżkę czujnika...

    Układy Zasilające   11 Kwi 2008 21:05 Odpowiedzi: 16    Wyświetleń: 4543
  • 20 letni domofon z centralką zdzp impuls.

    Witam! U mojej mamy na klatce jest zamontowany domofon z centralką zdzp impuls. Od paru lat występuje problem - nie słychać głosu w kasecie przywoławczej na dole a w słuchawce w domu słychać szum plus głos z mikrofonu tejże słuchawki. Słygnał przywołania i wyzwalanie zaczepu - ok. Wezwany fachowiec wymienił kasetę na nowszą, podpisał umowy z lokatorami...

    Domofony i kontrola dostępu   31 Maj 2013 20:57 Odpowiedzi: 21    Wyświetleń: 8978
  • Chronokomparator. Pomiar czasu pomiędzy impulsami.

    Zastosuj przekaźnik JK wyzwalany zboczem. Pozdrawiam wszystkich jjanek

    Początkujący Naprawy   17 Kwi 2011 16:05 Odpowiedzi: 2    Wyświetleń: 1553
  • [Bascom] - Attiny13 - Przerwanie Timer'a - krótki impuls

    Piszę program, do czasowego wyzwalania migawki: Na pokładzie mam attiny13, tact-switcha, oraz diodę led i transoptor. Układ ma wysyłać impuls ok 300ms co określony czas. Czas ustawia się poprzez wciskanie przycisku - jedno wciśnięcie = 0.5s więcej. Dłuższe przyciśnięcie (pow. 3s) powoduje ustawienie minimalnego czasu(0.5s). Problem jest taki, że im...

    Mikrokontrolery   29 Kwi 2013 14:50 Odpowiedzi: 5    Wyświetleń: 1914
  • [atmega8][bascom]Problem z obsługą impulsatora

    Witam, analizator zobaczył tylko ja specjalnie to zwęziłem żeby było widać zarys całego przebiegu,. Teraz tez już wiem, że pomysł stosowania przerzutnika D jest raczej bezcelowy. Bo i tak w programie trzeba sprawdzać stan na pinie kierunku. Oczywiście najlepszym rozwiązaniem jest zastosowanie bramek z histerezą. Jest jednak jeszcze druga kwestia, a...

    Mikrokontrolery Początkujący   27 Lut 2014 21:55 Odpowiedzi: 9    Wyświetleń: 5231
  • Bascom Atmega16 - Odliczanie czasu pomiędzy impulsami.

    Ty to jesteś krejzolka Aldoro wiesz hahahahaha Podłączył byś wyjście transoptora do wejścia INTx albo ustawił Timer jako Counter a wyzwalanie jako, na przykład Falling, Co sekundę sprawdzał byś ile impulsów nabiło przez sekundę. I to wszystko. Bez obsługi przepelnienia Timera osmiobitowego zmieścił byś 255 impulsów na sekunde. Miłego dnia. Mogłeś wcześniej...

    Mikrokontrolery AVR   30 Sty 2015 05:05 Odpowiedzi: 29    Wyświetleń: 3663
  • Bezdotykowy pomiar bardzo dużych prądów DC w bardzo krótkich impulsach.

    Podziwiam w takim razie - 100kW mocy nominalnej - samodzielna robota i to zasilana z baterii - to jest hoho nie byle co. Jak pisałem wyżej sterownik i płytę z mosfetami zamówiłem z chin. To nie jest moje wykonanie (jakiś czas temu sam robiłem sterowniki do zgrzewarek które budowałem). Sam wykonuję źródło prądowe, całą mechanikę, pneumatykę (częściowo...

    Projektowanie Układów   07 Lut 2021 12:29 Odpowiedzi: 110    Wyświetleń: 3456
  • Generator pojedynczego impulsu

    Witam Mam sygnał z czujki foto odbiciowej o zdarzeniu. Sygnał jest o różnym czasie trwania. Układ, dla którego jest przeznaczony to licznik. Zdarzenie ma zerować licznik i tak się dzieje, ale na czas trwania impulsu zerowania zablokowany jest licznik i nie zlicza . Próbowałem zbudować generator jednego impulsu na 74ls00 i 74ls04 wyzwalany zboczem opadającym...

    Projektowanie Układów   05 Lut 2005 10:01 Odpowiedzi: 2    Wyświetleń: 3460
  • Jak to zrobić? Generator impulsów.

    Witam Potrzebny jest jeden generator i dwa układy czasowe. Na 555 trudno będzie uzyskać tak krótkie czasy, koniecznie muszą to być scalaki w technologii CMOS. Innym rozwiązaniem jest zastosowanie CD4528. Z dwóch monowibratorów robisz generator, potem układ opóźniający wyzwalany zboczem opadającym, który na koniec swojej generacji wyzwala monowibrator...

    Projektowanie Układów   29 Sty 2007 23:00 Odpowiedzi: 11    Wyświetleń: 3971
  • atmega 16 jako "licznik" impulsow

    dziękuję :) po "małych" :lol: przeróbkach program wygląda tak, wykorzystałem dwa przerwania do ustawiania licznika: .include "2313def.inc" .equ ocr0 =$3C .equ ddra =$1A .equ porta =$1B .DEF cnt = r31 .DEF max = r29 .DEF man = r30 .cseg .org 0x00 rjmp main .org 0x02 rjmp set_up .org 0x04 rjmp set_down .org 0x026 rjmp counter_match main:...

    Mikrokontrolery   22 Gru 2010 20:07 Odpowiedzi: 7    Wyświetleń: 5726
  • Przekaźnik jaki? Łączenie prądu o napięciu 12V. Krótkotrwały impuls.

    Właśnie coś takiego jak "TJordan" napisał, tylko pod napięcie samochodowe 12V ? Dodano po 5 I właśnie jakby coś takiego było, żeby nie był załączany przyciskiem, tylko jakimś impulsem prądowym. (np. Za pomocą pilota poprzez alarm samochodowy który wyzwala minusa (od 1 do 3sek.) i właśnie aby ten minus z alarmu załączał i wyłączał Przekaźnik

    Elektryka Dla Każdego   31 Gru 2008 22:00 Odpowiedzi: 12    Wyświetleń: 3178
  • Układ do zliczania zadanej ilości impulsów.

    Czy podany przedział od 200 do 999 to zakres, z którego każdy stan może wyzwalać akcję (800 stanów)?

    Początkujący Elektronicy   21 Gru 2008 22:42 Odpowiedzi: 14    Wyświetleń: 2855
  • Układ zamykający obwód pod wpływem impulsu.

    Mistrzowie, ten uklad bez diody jest do kitu. Z pewnością każdy sią zapyta "czemu"? No to nadstawcie ucha, powiem wam...... Na styk wykonawczy przekaźnika idzie obciązenie, tak? -tak.... I on jest też podpięty do cewki i układu wyzwalającego, tak? -zgadza się... No to powiedzmy, że obciązeniem jest jakiś większy prądozżeracz, a impuls wyzwalający to...

    Początkujący Elektronicy   18 Kwi 2009 09:31 Odpowiedzi: 32    Wyświetleń: 5218
  • Generator impulsu o wysokiej energii

    Witam, Pracuję nad wykonaniem urządzenia, które powinno generować impuls elektryczny o dużej energii, jednocześnie powinno być małe, lekkie, bezpieczne i poręczne. Próbowałem wykonać je małym nakładem pracy, wykorzystując gotowy układ z lampy błyskowej jednorazowego aparatu foto, jednak jak się okazało, obecne rozwiązanie zdecydowanie nie przejdzie....

    Projektowanie Układów   30 Lis 2009 21:56 Odpowiedzi: 2    Wyświetleń: 5287
  • Dzielnik ilości impulsów.

    Witam Tak się składa, że buduje urządzenie w którego skład ma wchodzić licznik modulo 6 (na układzie CD4040). Układ ma być resetowany za pomocą dekodera CD4028. Próbuję jednak uruchomić najpierw licznik, który ma być wyzwalany za pomocą kontaktronu (w szereg z nim przekaźnik ze stykiem przełącznym zapewniający przełączanie z 0 na 1 wejścia zegarowego)....

    Projektowanie Układów   26 Lip 2012 20:45 Odpowiedzi: 16    Wyświetleń: 5917
  • Elektryzator ebs 2000 Jak zwiększyś energie impulsu.

    Kondensatory są na 400V, przetwornica ładuje je do 320-350V. Dodanie więcej niż 2uF/400V powoduje większe straty niż zyski, bo przetwornica nie da rady uzyskać takiego napięcia na tych kondensatorach. Pomocne może się okazać wydłużenie czasu pomiędzy impulsami wyzwalania tyrystora - wtedy przetwornica może zdąży naładować kondensatory, spróbuj zwiększyć...

    Inne Serwis   04 Sty 2013 17:13 Odpowiedzi: 33    Wyświetleń: 13172
  • Impulsator/przerywacz żarówek 230V

    Podstawowym założeniem projektu było opracowanie kopii przerywacza do żarówkowej reklamy zewnętrznej, a także utrwalenie zasad budowy zasilaczy beztransformatorowych i stopni sterujących triakami. Od wielu lat znajomy posiada reklamę swojej działalności, a sterowały nią dwa moduły przerywaczy tyrystorowych opracowanych w latach 80 XX wieku. Moduły...

    DIY Konstrukcje   06 Kwi 2013 18:46 Odpowiedzi: 10    Wyświetleń: 23325
  • Generator pojedynczego impulsu.

    Połącz 2 takie generatory w "szereg". Pierwszy do impulsu wyzwalającego 2gi generator.

    Początkujący Elektronicy   19 Gru 2014 20:35 Odpowiedzi: 28    Wyświetleń: 6273
  • Wyzwalanie przyciskiem generatora astablinego na NE555

    Mam pytanko do powyższego schematu. Mam tutaj generator przebiegów prostokątnych oparty o NE555. Służy on do podawania impulsów do licznika na bazie układu 7490. Chciałbym, aby podawanie impulsów rozpoczęło się po wciśnięciu przycisku. Na razie mam to rozwiązane przez wpięcie przycisku między wyjście Q NE555, a wejście licznikowe układu 7490. Układ...

    Początkujący Elektronicy   13 Lis 2018 08:33 Odpowiedzi: 6    Wyświetleń: 702
  • Impulsy 70V 0.5ms

    Witam, szukam schematu lub chociaż idei układu który generował by impulsy ok 70V 0.5ms, z przerwami 20ms, z tym że impulsy podawane były by na dość małą rezystancje i spodziewam się prądów rzędu 15-20A. Stabilność podanych czasów nie musi być dokładna wiec myśle że wystarczyłby nawet generator na NE555. Bardziej jednak niepokoji mnie magazynowanie i...

    Warsztat elektronika   30 Paź 2004 18:44 Odpowiedzi: 1    Wyświetleń: 713
  • Przekażnik sterowany impulsami...

    Obawiam się, że 555 nie da takiego sygnału na wyjściu, jaki jest potrzebny: 555 w układzie przerzutnika monostabilnego trzyma kondensator rozładowany kiedy nie ma impulsu wyzwalającego, i przez ten czas daje na wyjściu "0", a kiedy pojawi się impuls, zmienia stan wyjścia na "1" i zaczyna ładować kondensator; stan wyjścia zmieni się z powrotem na "0"...

    Projektowanie Układów   04 Lip 2005 14:25 Odpowiedzi: 42    Wyświetleń: 8952
  • Przerzutnik monostabilny. Wyzwalanie zboczem dodatnim i ujemnym.

    ... tylko jak zrobić taki prosty układ który po zmianie stanu na wejściu generuje pojedynczy impuls. ... Nie przesadzaj, jest bardzo prosty, stosuje się go w podwajaczach częstotliwości. Nie wymyślisz sam? ... Gdybym zrobił taki układ to po co mi 123 jak mógłbym pewnie regulować czas trwania impulsu na wyjściu w tym prostym układzie. 123 gwarantuje...

    Początkujący Elektronicy   25 Kwi 2006 08:34 Odpowiedzi: 3    Wyświetleń: 2744
  • Impulsowe demodulatory przebiegów FM.

    Twój temat nie dotyczy impulsowej modulacji, tylko impulsowej demodulacji FM. Jeszcze raz Cię odsyłam do linku: Opis układu w/g patentu mówi, że dla demodulacji FM zastosowano 2 monostabilne generatory impulsów, jeden wyzwalamy zboczem narastajacym, a drugi wyzwalany zboczem opadajacym przychodzącego sygnału FM. Demodulacja następuje przez kombinacją...

    Początkujący Elektronicy   05 Lip 2008 15:13 Odpowiedzi: 3    Wyświetleń: 970
  • Alter SSO 2004 - Nie wyzwala dzialania zaworu odcinającego

    Trafiła mi się w ostatnim czasie kilka razy, powtarzająca się usterka systemu detekcji i odcięcia gazu Alter SSO 2004. Cewka nie dostaje impulsu. Z obcego źródła wyzwala więc awaria cewki wykluczona. Akumulator sprawny. Spotkał się ktoś kiedyś z czymś takim? Może po prostu przekaźnik... Mam zdemontowaną jedną centralkę i jak tylko znajdę czas (!!!!!)...

    Systemy Alarmowe   24 Kwi 2013 06:45 Odpowiedzi: 1    Wyświetleń: 1029
  • [ATMEGA32][BASCOM] - Praca Timer1 w trybie CTC liczenie wygenerowanych impulsów

    Witajcie ! Mam taką zamotaną sytuację. Na początek kod, albo raczej jego istotne fragmenty: [syntax=basic4gl] $regfile = "m32def.dat" $crystal = 16000000 $hwstack = 128 $swstack = 128 $framesize = 128 Config Timer1 = Timer , Prescale = 8 , Clear Timer = 1 , Compare A = Toggle Enable Interrupts Start Timer1 On Oc1a Timer1_isr Enable Timer1 Ocr1a = 50...

    Mikrokontrolery AVR   11 Paź 2013 20:26 Odpowiedzi: 12    Wyświetleń: 2571
  • Zgrzewarka impulsowa ZI 500C -nowy sterownik na NE555 -czy dobry schemat

    Witam Wiele lat korzystam z dobrodziejstw forum i przyszedł czas na mój pierwszy temat.Trochę się gubię gdzie wkleić temat. Otrzymałem do naprawy zgrzewarkę impulsową ZI 500C -zgrzewarka do folii 1500W. Uszkodzeniu uległ sterownik -płytka PCB (powypalane ścieżki, przegrzany laminat od rezystorów 5W, niedziałający stabilizator). Układ wykonany na dwóch...

    Układy Zasilające   15 Kwi 2014 23:27 Odpowiedzi: 4    Wyświetleń: 7185
  • Jak wygenerować pojedynczy impuls?

    Witam, Potrzebuję wykonać maksymalnie prosty układ, który wygeneruje mi impuls o długości około 1sek i potencjale masy. Czyli normalnie na wyjściu jest 12V (napięcie zasilania układu) a po wyzwoleniu wejścia stanem niskim (normalnie na wejściu również będzie stan wysoki czyli 12V) na wyjściu pojawia się wymagany pojedynczy impuls. Może być też taka...

    Projektowanie Układów   23 Sty 2015 00:23 Odpowiedzi: 5    Wyświetleń: 2007
  • Jaki układ do generowania impulsu 50-100 ms do diody laserowej?

    W przerzutnikach monostabilnych jest taka mała pułapka - są warianty z podtrzymaniem impulsu. Tych kolega powinien unikać, bo pojawienie się kolejnego impulsu wyzwalającego spowoduje podtrzymanie tego, który już trwa. Tak ma np. układ 74123.

    Projektowanie Co i Gdzie Kupić ?   03 Maj 2020 17:41 Odpowiedzi: 11    Wyświetleń: 993
  • Pomiar amplitudy impulsu PWM za pomocą TIM3 i ADC: Wyzwania i kwestie techniczne

    Witam, Program ma na celu zmierzyć amplitude impulsu PWM, wypełnienie i częstotliwość. O ile z pomiarem wypełnienia i częstotliwośći nie mam problemu, to pomiar amplitudy nie do końca działa. Szczegóły: PWM 1 kHz. 5 < D < 95 % Na zboczu narastającym sygnału PWM uruchamiany jest TIM3 który trigeruje co 10 us pomiar ADC, które poprzez DMA zapisuje...

    Mikrokontrolery   12 Lis 2020 12:45 Odpowiedzi: 0    Wyświetleń: 249
  • Brak impulsów 40 kHz na A0 w Arduino UNO po odkomentowaniu linii kodu

    21005680 A jakie masz wyzwalanie na oscyloskopie - daj na normal (tak się chyba zwykle nazywa), wtedy oscyloskop lepiej wyłapie sporadyczne impulsy.

    Arduino   16 Mar 2024 10:04 Odpowiedzi: 4    Wyświetleń: 111
  • Jakie są sposoby wyzwalania w oscyloskopach? Przełącznik AC i DC.

    Sposobów wyzwalania nie ma... są jedynie metody synchronizacji podstawy czasu. I tu wyróżniamy: - podstawę czasu synchronizowaną stosowaną do przebiegów okresowych) - podstawę czasu wyzwalaną, stosowaną do przebiegów impulsowych i wszelkiego rodzaju impulsów, chociaż czasami też stosuje się do przebiegów okresowych. Przełącznik AC/DC służy do przełączania...

    Początkujący Elektronicy   29 Mar 2006 18:56 Odpowiedzi: 1    Wyświetleń: 920
  • Sygnał impulsowy -> sygnał ciągły. Jak?

    Narastające zbocze sygnału W sprawia, że na wyjściu Q przerzutnika jest generowany impuls o czasie trwania t. Z powyższych przebiegów czasowych widać, że wystąpienie kolejnych impulsów wyzwalających w czasie trwania t impulsu generowanego wydłuża czas trwania impulsu wyjściowego Q. nie czytałeś i temu nie wiesz . tak na szybkiego :) dajesz impuls na...

    Projektowanie Układów   10 Kwi 2006 16:36 Odpowiedzi: 9    Wyświetleń: 4120
  • Stabilizator napięcia stałego o działaniu impulsowym

    To są dwa multiwibratory monostabilne U1A i U1B. Są one tak podłączone że jak jeden skończy generować impuls to wyzwala drugi. U1A ma czas impulsu ustalony przez T1 = C6 * (R8+R9) * const Twoim zadaniem jest takie dobranie Cext aby T2 = ( C3 + Cext ) * R6 * const = 18,2us Stałą "const" można znaleźć w karcie katalogowej a dziwny R9 to potencjometr montażowy....

    Nauka Elektroniki, Teoria i Laborki   10 Mar 2009 18:51 Odpowiedzi: 3    Wyświetleń: 2408
  • Silnik impulsowy ~1kW - projekt sterowania?

    Witam Chcę również zbudowac podobny silnik, będę wdzięczny za podzielenie się ze mną wiadomościami. Chciałbym przedstawić swoją koncepcję zasilania mojego silnika Adamsa. Do zasilania chcę wykorzystać impuls z kondensatora o napięciu rzędu ok 1000 Volt i określonym okresie trwania. Impuls z kondensatora ma być wyzwalany układem fototranzystor + 555...

    Elektro Maszyny i Urządzenia   21 Gru 2009 13:08 Odpowiedzi: 2    Wyświetleń: 2467
  • Jak zrobić impulsowy przerzutnik T?

    Założenia jakie musi spełniać to: 1. Wyzwalanie jednym przyciskiem typu NO 2. Dwa wyjścia wyzwalane na przemian 3. Czas wyzwolenia ten sam co czas naciśniętego przycisku. Generalnie mi chodzi o to, aby uzyskać napięcie 12 Vna czas około sekundy za każdym naciśnięciem na jednym z dwóch przewodów sterujących zamkiem centralnym w starym Escorcie. Czyli...

    Projektowanie Układów   26 Cze 2010 09:55 Odpowiedzi: 6    Wyświetleń: 4495
  • Filtrowanie impulsów z cewki zapłonowej z izolacją galwaniczną

    Stosowanie filtrów to droga donikąd. Pojedyncze ogniwo daje tłumienie 6 dB na oktawę, a to bardzo mało. Trzeba się skupić na tym, że impuls wyzwalający przeskok iskry jest o wiele dłuższy od impulsów zakłócających. W tym wypadku wystarczy całkowanie impulsów i odpowiednie wykorzystanie układu Schmitta. Niepokoi mnie, że piszesz o przeskoku iskry. Ja...

    Początkujący Naprawy   29 Lip 2011 15:22 Odpowiedzi: 26    Wyświetleń: 4470
  • iMpulse - najmniejszy bezprzewodowy kontroler do gier dla iOS i Android

    Inżynierowie firmy Black Powder Media na łamach portalu Kickstarter zaprezentowali koncepcję bezprzewodowego, przeznaczonego dla telefonów typu smartphone kontrolera do gier w formie breloka. Projekt promowany jest hasłem: „najmniejszy bezprzewodowy kontroler do urządzeń mobilnych”. Urządzenie komunikować ma się bezprzewodowo, drogą interfejsu...

    Newsy   16 Paź 2012 10:27 Odpowiedzi: 0    Wyświetleń: 1212
  • Anodowanie impulsowe (aluminium)

    Witam, Widziałem kilka tematów o anodowaniu aluminium i przeglądałem też tą stronę polecaną: tyle że zależy mi aby uzyskać jak najgrubszą warstwę tlenku na powierzchni aluminium w celu zmatowienia i zwiększenia pochłaniania światła oraz odporności na temperaturę, a nie samo kolorowanie i estetyczne zabiegi. Widziałem różne dane co do zalecanych wartości...

    Ogólny techniczny   28 Gru 2020 21:10 Odpowiedzi: 18    Wyświetleń: 12243
  • Re: Impulsowe przeciążenie sieci (bezp. 16A) mimo ciągłego obciążenia 2A

    CLS6 Seria w której akurat opisano znamionową zwarciowa zdolność łączeniowa 6 kA. bo B/C i liczba na końcu to już typ i dopuszczalne obciążenie tak? Prąd znamionowy i charakterystyka (B, C lub D). Twoje zabezpieczenie wyzwala gdyż (tak podejrzewam) podczas załączania się (próby naładowania się kondensatora) prąd ładowania (a raczej jego impuls) jest...

    Elektryka Instalacje i Sieci   12 Lis 2017 22:49 Odpowiedzi: 29    Wyświetleń: 2499