xilinx spartan płytka

Znaleziono około 67 wyników dla: xilinx spartan płytka
  • Jak wyświetlić liczby na LCD w Spartan-3AN: VHDL czy MicroBlaze?

    Nie baw się tym oprogramowanie, które dostałeś na płytkach to 30-dniowe wersje później nie działają, najlepiej ściągnij darmowe wersje z xilinx-a. pozdrawiam

    Programowalne układy logiczne   12 Paź 2009 07:43 Odpowiedzi: 8    Wyświetleń: 3519
  • Czy XUP USB-JTAG Progr. Cable jest potrzebny do FPGA Spartan 3?

    Spartan-3 to staroć, który niedługo osiągnie status Mature Device i nie będzie już dalej produkowany. Za tą cenę lepiej zainwestuj w Cyclone IV: [url=http://www.kamami.pl/index.php?ukey... DE0-Nano (P0082)---EDU Płytka dużo lepsza, też ma JTAG na płytce, w dodatku przetworniki ADC i akcelometr. I sam FPGA jest dużo większy...

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9678
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Wybór zestawu: AES-SP3A-EVAL400-G czy Xilinx CoolRunner-II do 250 zł?

    Mam do sprzedania ZL10PLD(Moduł dipPLD z układem XC3S200 (Spartan 3 firmy Xilinx) ) oraz płytkę Demoboard którą sam dorabiałem do niego. Jeżeli jesteś zainteresowany pisz na prywatny meil.

    Programowalne układy logiczne   27 Sty 2009 20:25 Odpowiedzi: 11    Wyświetleń: 3378
  • REKLAMA
  • Xilinx Spartan 3A - Webpack ISE przestał programować FPGA

    Ja miałem taką sytuację na Vivado i nowszych płytkach - pomogła zmiana komputera na inny :D Niestety wsparcie = 0.

    Programowalne układy logiczne   18 Lis 2016 07:33 Odpowiedzi: 6    Wyświetleń: 3192
  • Jak zrealizować czasową synchronizację w FPGA Xilinx? Szukam materiałów po polsku

    nie wiem jak moge rozwinąć ten temat, mam do opracowania część teoretyczna na temat : Czasowa synchronizacja w FPGA Xilinx i cześć praktyczną,ale z częścią praktyczną już sobie poradziłem,działamy na płytkach spartan . Jak mozecie to podrzućcie wszystko co jest związane z tym tematem.

    Programowalne układy logiczne   10 Cze 2010 15:12 Odpowiedzi: 7    Wyświetleń: 1809
  • REKLAMA
  • Gdzie kupić Xilinx Virtex-II lub Spartan-3A w przystępnej cenie?

    Spartan-3AN jest układem typu non-volatile (posiada nieulotna pamięć flash, dzięki której nie musimy programować go przy każdym rozruchu). Jak narazie to jedyna różnica, jaką udało mi się zauważyć. W dokumentacji Xilinx-a te układy występują koło siebie, jako równoważne. Nie róznią się wydajnościa, jak i liczbą wyprowadzeń. Z płytkami jest chyba podobnie,...

    Programowalne układy logiczne   04 Mar 2009 21:00 Odpowiedzi: 4    Wyświetleń: 1905
  • LiveDesign Evaluation Kit z Xilinx Spartan-3 do nauki VHDL i Verilog za 450 zł?

    jak sie sprowadza płytki z USA z digilenta to chyba trzeba doliczyć podatek Vat, bo urząd celny może się przyczepić... Płytka z kamami za 590 brutto ma przetworniki CA i AC (100$ w USA na digilentinc.com, spartan 3E)

    Programowalne układy logiczne   24 Sty 2008 15:45 Odpowiedzi: 16    Wyświetleń: 3601
  • REKLAMA
  • Wnętrze oscyloskopu 2 kanałowego OWON SDS1022

    1053443 Przyszła jesień więc i też dłużej zaczynamy przebywać w domach to przy okazji trzeba zadbać o nasze przyrządy odkurzając to i owo postanowiłem zrobić zdjęcia wnętrza budżetowego oscyloskopu marki OWON model SDS1022 W środku za wiele nie ma 2 płytki i zasilacz Z zasilacza wychodzi 5v Producent podaje max 15w poboru. 1053445 Układy na płytce...

    Wnętrza urządzeń   29 Paź 2020 20:31 Odpowiedzi: 7    Wyświetleń: 3495
  • Gdzie kupić tanią płytkę rozwojową z FPGA Spartan-6 w Polsce?

    Witam, Wie ktoś może czy w Polsce można gdzieś kupić tanią i prostą płytkę z jakimś Spartanem np. 6? Chodzi o to, żeby było możliwie tanie - czyli sama płytka z niezbędnymi rzeczami i jedynie wyprowadzone goldpiny. Są na ebay takie, ale śpieszy mi się trochę, a nie chcę czekać na dostawę kilka tygodni. Może ktoś ma nawet odsprzedać? Np. coś takiego:...

    Mikrokontrolery   22 Lis 2016 17:46 Odpowiedzi: 1    Wyświetleń: 909
  • [XILINX SPARTAN-6] Czy możliwe jest programowanie przez USB?

    przepraszam panowie że drążę tak temat, ale mam jeszcze jedno pytanko, załóżmy że mam taką płytkę nową , "czystą" w sensie programu, to wtedy raczej nie możliwe żeby przez USB zaprogramować??

    Programowalne układy logiczne   26 Paź 2018 15:43 Odpowiedzi: 15    Wyświetleń: 1242
  • Fipsy - niewielka i niedroga płytka prototypowa FPGA (Kickstarter)

    To już wolę dołożyć drugie tyle i kupić coś takiego: [url=https://www.aliexpress.com/item/Spa...

    Newsy   12 Maj 2018 11:34 Odpowiedzi: 20    Wyświetleń: 2025
  • REKLAMA
  • Jaką podstawkę wybrać dla Xilinx Spartan II XC2S50-5TQ144?

    No to jest jeszcze inna możliwość. Możesz po prostu układu nie wlutowywać w płytkę, tylko porządnie go do niej docisnąć (np. jakąś klamrą). Jednak przy 144 nóżkach zarówno płytka jak i nóżki układu musiałyby być idealnie równe, żeby wszystko dobrze kontaktowało. Ewentualnie normalnie układ wlutować, a w razie potrzeby wylutować rozlutownicą na gorące...

    Elementy Elektroniczne - zamienniki i identyfikacja   14 Cze 2004 11:20 Odpowiedzi: 7    Wyświetleń: 1586
  • Symulacja VHDL dla PicoBlaze na Spartan 3E - błędy w Modelsim przez Xilinx ISE

    Witam, Piszę bo mam do zrobienia projekt - inicjalizacja wyświetlacza LCD przy pomocy pBlaze'a na płytce Spartan 3E. Przed implementacją chciałbym najpierw zasymulować działanie programu, ale bez skutecznie. Próbuje uruchomić Modelsim'a przez Xilinx ISE Project manager, i co rusz wyskakują kolejne błędy. Czy może ktoś pomóc? Zasugerować symulator? Robił...

    Programowalne układy logiczne   27 Sie 2011 14:29 Odpowiedzi: 13    Wyświetleń: 3328
  • Xilinx Spartan - Jak użyć DCM do generacji sygnału 1Hz z zegara 100MHz?

    Jasne, Spartan 3 ;) Mam małą płytkę 100k bramek, używam narzędzi z Xilinxowego Webpacka. Nie musi się dać podzielić do 1Hz, wystarczy cokolwiek mniej niż 10MHz, może jakieś kHz, to już wystarczy.

    Programowalne układy logiczne   08 Sty 2013 23:46 Odpowiedzi: 11    Wyświetleń: 2289
  • Płytka uruchomieniowa pod CPLD Xilinx XC9536XL/XC9572XL

    http://obrazki.elektroda.pl/7532016500_1... Od dłuższego czasu bawię się FPGA (głównie Xilinx Spartan 3), jednak jest to dość droga zabawa, a wiele prostych rzeczy nie wymaga złożonego i drogiego FPGA - zmieszczą się i będą działać na CPLD. Najprostsza wersje to Xilinx XC9536XL, której koszt to ok. 6-8zł. Jednak aby uprzyjemnić sobie...

    DIY Konstrukcje   05 Lis 2012 23:38 Odpowiedzi: 11    Wyświetleń: 9003
  • Płytka rozwojowa Mercury 2 dla FPGA Xilinx Artix-7

    https://obrazki.elektroda.pl/6754198900_... https://obrazki.elektroda.pl/7543130400_... Chociaż obecnie spotykamy coraz więcej płytek rozwojowych z ukłądami FPGA, bardzo niewiele jest zaprojektowanych do umieszczenia na płytce stykowej. Te które wspierają taką możliwość są jednak zazwyczaj oparte na podstawowych układach...

    Newsy   02 Paź 2020 14:44 Odpowiedzi: 2    Wyświetleń: 1236
  • Jaki JTAG i program do wgrania wsadu dla DSP TMS320VC33PGE CC-14AFFDW G4?

    Nie widzę na płytce nic innego co mogłoby przechowywać coś w pamięci. Są układy : cy7c688013A Samsung 146 K91G08U0D cy7c1401dv33 xilinx xc95144xl sst 39vf400a xilin spartan XC2S300E Za komunikację USB na 100% odpowiada cy7c688013A obok niego jest U33 zobacz co to jest? Może to być pamięć SPI/I2C.

    Mikrokontrolery   22 Kwi 2016 08:57 Odpowiedzi: 10    Wyświetleń: 924
  • Programowanie płytki Embedded Systems v.2.01 z atmega128 na Linuxie

    Czy ktoś ma doświadczenie w programowaniu tego pod linuxem ? Nie wiem co właściwie jest nazwą tej płytki, z przodu widze "Embedded Systems v.2.01". Oprócz atmegi128 na płytce jest większy układ Xilinx spartan XC2S200+ trochę ledów , buttonów, led display, 2 usb z czego jeden do programowania. Urządzenie zgłasza się jako: Future Technology Devices International,...

    Mikrokontrolery AVR   24 Lis 2009 17:06 Odpowiedzi: 6    Wyświetleń: 2505
  • Wybór zestawu FPGA: DE0-NANO z Cyclone IV czy XILINX Spartan-6 XC6SLX9?

    Słyszałem o takie płytce ewaluacyjnej polskiej firmy Kamami: https://kamami.pl/zestawy-uruchomieniowe... W sumie nie wiem czemu FPGA są takie drogie.

    Programowalne układy logiczne   29 Kwi 2017 21:45 Odpowiedzi: 9    Wyświetleń: 4539
  • Altium plytka. Przesylanie pliku konfiguracyjnego (.bit)

    Witam Mam problem. Dysponuję płytką Altium (LiveDesign Evalution Board) z układem Xilinx Spartan 3. Programowanie tego układu odbywało sie bardzo przyjemnie za pomocą programu Altium DXP 2004 PC(30 dniowy trail) 1. Teraz poszukuje programu (darmowego), który umożliwi mi programowanie ( przesyłanie pliku konfiguracyjnego do tego układu (.bit)). Sprawdzałem...

    Mikrokontrolery   11 Gru 2005 12:32 Odpowiedzi: 5    Wyświetleń: 1769
  • Co do nauki VHDL i układów programowalnych? Zestaw uruchomieniowy.

    A jeszcze tak wracajac do pamieci nie myslales by dac GDDR ZTCW kontroler pamięci w Spartanach 6 nie obsługuje GDDR. Pozdr, TWl A tego to ja nie wiem :) zabawe z Xilinx-em zakonczylem na plytce "altium livedesign evaluation board" z Spartanem 3 :) nie mam pojecia co teraz jest tam dostepne... Ja tam sie bawie malymi Alterami teraz bardziej bez wiekszych...

    Programowalne układy logiczne   22 Maj 2014 10:53 Odpowiedzi: 29    Wyświetleń: 3912
  • Konfiguracja DCM w Spartan 3E dla MIG DDR i ostrzeżenie CLK_IN 5-90MHz

    Witam Muszę obsłużyć MIGa do DDR. Na wejście musi być podane 100MHz (0 i 90st). Podłączam sobie w tym momencie 2 DCMy kaskadowo. Pierwszy mnoży *2 i nie ma feedbacka, a drugi wykorzystuje wyjścia 0 i 90st. Zawsze jednak wyskakuje ostrzeżenie że wejście CLK_IN tego drugiego DCMa powinno być w zakresie 5-90MHz, a nie mogę ustawić parametru DLL frequency...

    Programowalne układy logiczne   15 Kwi 2008 10:35 Odpowiedzi: 22    Wyświetleń: 2601
  • Czym są układy programowalne?

    Na początek mam zamiar kupić taką gotową płytkę prototypową: Nie kupuj tego, straszne dziadostwo i wyrzucona kasa. Lepszą płytkę sam możesz sobie zrobić (koszt mojej płytki z XC9572XL to jakieś 15zł i podłączam do tego inne własne moduły lub płytki stykowe). Tak jak Tymon napisał, jak już chcesz kupować coś droższego, to kup płytkę z MachXO2 albo z...

    Artykuły   19 Lip 2013 10:20 Odpowiedzi: 13    Wyświetleń: 16896
  • [CPLD Xilinx] Błąd iMPACT 585 przy programowaniu CPLD Xilinx XC9572XL, ZL11PRG UnISProg

    Dołączam się do tematu... Kupiłem właśnie programator PLD Cable 2, płytkę MMFPGA12, Opisy tutaj: http://www.propox.com/products/t_121.htm... http://www.propox.com/products/t_154.htm... Niestety mam ten sam problem, co wyżej... Impact nie wykrywa mi wogóle elementów łańcucha JTag, a po dodaniu ręcznie kości Spartan 3 200 zwraca błąd w IDCode... Czy...

    Programowalne układy logiczne   10 Cze 2009 13:33 Odpowiedzi: 20    Wyświetleń: 7959
  • Melon S3 - płytka prototypowa z Nuvoton N32905R3DN i ESP8266

    http://obrazki.elektroda.pl/3672154100_1... Melon S3 to nowy model płytki prototypowej tajlandzkiej firmy Q-Wave Systems. Sercem Melon S3 jest mikrokontroler Spartan XC3S500E firmy Xilinx zawierający 500 tysięcy komórek logicznych. Łączność Wi-Fi umożliwia układ ESP8266 firmy Espressif Systems. Programowanie podsystemu FPGA odbywać...

    Newsy   28 Maj 2017 12:34 Odpowiedzi: 2    Wyświetleń: 2691
  • Niemieccy naukowcy złamali HDCP dzięki sprzętowi za prawie 250 $

    http://obrazki.elektroda.pl/7640356000_1... Niemieccy naukowcy z Uniwersytetu Ruhr w Bochum złamali zabezpieczenie HDCP (high-bandwidth digital content protection) stworzone w 1999 roku przez Intela, aby zapobiegać nieautoryzowanemu kopiowaniu treści wideo w wysokiej rozdzielczości. Sprzęt, za pomocą którego spenetrowali intelowskie zabezpieczenia...

    Newsy   26 Lis 2011 22:03 Odpowiedzi: 5    Wyświetleń: 4402
  • Analizator stanów logicznych na Xilinx Spartan-3E 500, 16-32 kanały, 100MHz, USB

    Generalnie jakchiś wielkich cudów to nie ma, ot wielki komparator chodzący na szybkim zegarze. Dysponując taką płytka możesz pokusić sie o przechowywanie pobranych próbek w pamięci SDRAM, ale wtedy najbardziej będzie Cie trzymać szybkość zapisu do pamięci. Pamięć wewnątrz ukłądu napewno będzie szybsza. Siła analizatora stanów logicznych nie tkwi w ilości...

    Programowalne układy logiczne   09 Paź 2007 12:24 Odpowiedzi: 6    Wyświetleń: 2483
  • Wybór zestawu Development Kit do nauki FPGA i VHDL dla początkującego

    Zastanawiam się nad kupnem Xilinx Spartan-3E 500 Starter Kit. Czy cena 600zl (kamami.pl) jest adekwatna do jego możliwości? Hej, ten zestaw można też dostać taniej u jednego z dystrybutorów Xilinx-a - w firmie Silica. Wysłałem do nich niedawno maila i łącznie z wysyłką wyszła by kwota rzędu 516 zł (zależy od aktualnego kursu dolara). A możesz podać...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16793
  • Jestem nowicjuszem - Kilka pytań o układy programowalne

    Witam. Sam może też nie jestem jakimś wielkim ekspertem w dziedzinie, ale myślę że trochę będę mógł pomóc przynajmniej z częścią pytań. Po kolei zatem. a) Ile pieniędzy trzeba by zainwestować by móc zacząć się tym bawić? Tzn ile mniej więcej zapłacę za sam układ (tym FPGA) + programator + oprogramowanie komputerowe? Zabawę najlepiej zacząć od nabycia...

    Programowalne układy logiczne   19 Mar 2007 21:53 Odpowiedzi: 19    Wyświetleń: 6987
  • Wybór makiety FPGA/CPLD do DSP audio/wideo do 300 zł

    Z Altium korzystałem i wątpię w to abyś to oprogramował za pomocą jego. Z tego co się orientuje posiada on wszystko to co potrzebne ale o ile pamiętam to możesz tam oprogramować trzy typy układów Xilinx, Altera i Lattice. Tylko a może aż tylko hmmm... Drivery w Altium są pisane tylko pod ich produkty(Koszt = kupa i to dosłownie kasy taki nanoboard)...

    Programowalne układy logiczne   10 Lut 2010 19:10 Odpowiedzi: 9    Wyświetleń: 2108
  • Spartan 3E Starter Kit + UART - problem z komunikacją

    Witam! Robię projekt na tej płytce deweloperskiej i chcę skomunikować sięz komputerem PC. Do tego celu używam RS232. Udaje mi się wysłać z płytki na PC informacje, jednak po kilku przesłanych znakach transfer urywa się bądź też przesyłane są losowe znaki. W Xilinx platform studio ustawiam parametry: - baud rate = 9600 - number of data bits in a serial...

    Programowalne układy logiczne   06 Paź 2009 13:40 Odpowiedzi: 12    Wyświetleń: 2622
  • Jak ustawić startup clock na 'JtagClk' w XILINX Spartan XC2S200?

    Witam, Termin goni, a ja mam ogromny problem. Mam przed soba XILINX'a Spartana XC2S200 plus soft XILINX'a. Napisałem prostą aplikację i chciałbym wgrać ją na płytke. Program najprostszy jaki tylko może być, skompilowałem - 0 błędów. Kliknełęm Generate PROM File, przeszło wszystko bez zarzutu. Następnie wybrałem Configure Device i odpaliło się okno z...

    Programowalne układy logiczne   25 Sty 2007 18:44 Odpowiedzi: 1    Wyświetleń: 2094
  • Jak programować zewnętrzną pamięć Flash na Spartan-3AN przez Indirect SPI?

    Requirements for iMPACT Indirect Programming Support The following are required to successfully perform in-system programming on the attached SPI serial Flash PROM. • A Xilinx programming cable: (http://www.xilinx.com/onlinesto... Platform Cable USB (http://www.xilinx.com/support/d...

    Programowalne układy logiczne   26 Lis 2008 00:12 Odpowiedzi: 7    Wyświetleń: 4991
  • KrzysioCart Micro SD - rewolucja dla fanów konsoli Pegasus/Famicom.

    http://obrazki.elektroda.pl/5144790300_1... Przedstawiam Wam swoje najnowsze dzieło - KrzysioCart MicroSD - kardridż do konsoli Pegasus, który będzie ostatnim, jaki kupisz - żaden inny już nie będzie potrzebny. Kardridż obsługuję 82% wszystkich gier, jakie wyszły na konsolę NES / Famicom / Pegasus. Obsługiwane są następujące mappery...

    DIY Konstrukcje   22 Gru 2022 18:12 Odpowiedzi: 106    Wyświetleń: 86646
  • Kod VHDL na Xilinx XC2S200E - problem z portami wejścia/wyjścia

    Posiadam płytkę ewaluacyjną z układem Xilinx XC2S200E, zainstalowałem Xilinx ISE w wersji 10.1 (bo nowsze nie obsługują tego układu). Kod w VHDL wygląda tak: NET "a" LOC = "P77" ; NET "b" LOC = "P69" ; Problem jest taki że P77 w tym spartanie jest wejściem GCLK (Global clock) a na płytce ewaluacyjnej mam tam zwykły...

    Programowalne układy logiczne   22 Mar 2012 23:50 Odpowiedzi: 3    Wyświetleń: 2069
  • [VHDL] Implementacja przycisków w stoperze na FPGA Xilinx Spartan2 XC2S200

    Witam, Jestem w trakcie tworzenia projektu stopera w VHDL’u na płytce FPGA Xilinx Spartan2 XC2S200 jednak utknąłem w pewnym miejscu i pomimo przeszukiwania forum nie mogę znaleźć rozwiązania. Mianowicie mój problem polega na zaprogramowaniu guzików stopera. Stoper został sprawdzony w laboratorium i działa prawidłowo na switchach jednak problem...

    Programowalne układy logiczne   26 Maj 2009 22:03 Odpowiedzi: 3    Wyświetleń: 1441
  • Czy Digilent Spartan 3 zawiera wszystko do startu? Brak LPT w laptopie

    Szkoda pieniędzy - gdyby na uczelni mieli płytki z rodziną 4000 xilinxa to też byś taką kupił? Ale skoro chcesz, to tak - ta płytka + kabel usb + oprogramowanie digilenta to wszystko, czego potrzebujesz do zaprogramowania FPGA. No i oczywiście WebPack/ISE do syntezy... Pozdrawiam, Dr.Vee

    Programowalne układy logiczne   21 Kwi 2009 16:14 Odpowiedzi: 9    Wyświetleń: 2519
  • [VHDL + Xilinx EDK] Problem z dodawaniem własnego komponentu

    Witam! Od jakiegoś tygodnia staram się poprawnie dołączyć własny komponent do projektu tworzonego w oparciu o płytkę Spartan 3E starter kit oraz środowisko Xilinx Embedded Development Kit 8.2. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all;...

    Programowalne układy logiczne   19 Maj 2009 17:24 Odpowiedzi: 11    Wyświetleń: 2643
  • Obniżenie napięcia sygnału zegarowego FPGA do 2V5 za pomocą diody Zenera

    Witam wszystkich, projektuję PCB do podłączenia kamery cyfrowej platformy FPGA, Xilinx XUPV2P oraz Xilinx Spartan3E. Mój problem polega na tym, że muszę do kamery podłączyć sygnał zegarowy, chcę wykorzystać do tego FPGA, żeby zminimalizować rozmiar PCB. Układ kamery działa na 2V5 natomiast max napięcie na pinach wejściowych może mieć ok 2V8. Gdyby płytka...

    Programowalne układy logiczne   02 Gru 2008 08:40 Odpowiedzi: 14    Wyświetleń: 1518
  • Spartan 3, vhdl i zapis do pamięci flash stanu licznika.

    Witam! Pozwoliłem sobie stworzyć nowy wątek, ponieważ nie znalazłem niczego na forum... Kończę pisać projekt, i potrzebuję zapisać dane do kości flash na płycie z układem FPGA (Spartan 3e) Płytka to Spartan 3e Starter Board. Z tego co doczytałem to na płycie są dwa rodzaje pamięci flash: 1) St Microelectronics M25P16 16Mbit Serial Flash 2) Intel TE28F128...

    Programowalne układy logiczne   29 Maj 2007 16:52 Odpowiedzi: 3    Wyświetleń: 2390
  • Jak skonfigurować DDR2 SDRAM w Xilinx Spartan 3AN z zegarem poniżej 133MHz?

    Witam Włączam xps odpalam BSB i tam jest zakładka, w której dodaje się peryferia, np bit_switche, leds, oraz DDR2 SDRAM i własnie chciałbym sobie dodać pamięć lecz wyskakuje mi ciągle, że potrzebny jest zegar minimum 133MHz...............jak zrobić, żeby dodać tą pamięć?Dysponuje oscylatorem 133Mhz na płytce ale maksymalna częstotliwość to około 88...

    Programowalne układy logiczne   01 Cze 2010 07:43 Odpowiedzi: 0    Wyświetleń: 783
  • Projektowanie płytki testowej FPGA Xilinx - jakie komponenty dodać?

    Wg mnie wyświetlacz powinien być graficzny bez sterownika bo wtedy możnaby zrobić sterownik w FPGA ;) Powinien mieć troszkę pinów, np. Spartan3 xc3s200 w obudowie 208. Procek faktycznie powinien mieć interfejs do zewnętrznej pamięci. Może być LPC2220 (LQFP144). Pamięć 64MB to już jakeś SDRAMy a to oznacza sterownik w FPGA. FLASH 32MB na programy to...

    Programowalne układy logiczne   04 Lip 2006 18:50 Odpowiedzi: 2    Wyświetleń: 2207
  • Sterowanie wyświetlaczem LCD 320x240 w Bascom - linia DATA i kolory

    Dobry do wysterowania czegoś takiego jest FPGA np. Xilinxa Spartan 3, można kupić zestaw startowy(płytka z prockiem+soft+zasilacz+programator jtag) za 99$ z przesyłką i opłatami dodatkowymi ok. 500zł. Zrobić można na tym naprawdę dużo np. 16bitowego RISC taktowanego 100MHz lub nawet trochę więcej + dodatki jak obsługa tego wyświetlacza. Oczywiście trzeba...

    Mikrokontrolery   06 Sty 2006 07:14 Odpowiedzi: 33    Wyświetleń: 8762
  • Butterfly Platform - platforma FPGA

    http://obrazki.elektroda.net/47_12522541... Butterfly Platform to bardzo ciekawa platforma FPGA. Zbudowana w oparciu o Xilinx Spartan-3E oraz moduł rozszerzający USB na układzie FTDI2232. Na tą platformę dostępnych jest kilka projektów m.in.: - 12 kanałowy PWM - Rdzeń AVR kompatybilny z 90S1200 i 90S2313 - generator - analizator logiczny Oto...

    DIY Zagranica   09 Wrz 2009 21:27 Odpowiedzi: 4    Wyświetleń: 4877
  • [VERILOG] Xilinx Spartan 3 - Błąd syntezera przy projekcie zegara szachowego w Verilog

    Witam, W ramach projektu na uczelni muszę zrobić zegar szachowy, który będzie odliczał od maksymalnie 99minut 59sekund. Projekt realizowany na ISE 13.4 oraz płytce ewaluacyjnej Spartan3 W związku z tym tworzę złożony moduł składający się z 4 liczników BCD ( trzech modulo 9 i jeden modulo 5), które mają możliwość ustawienia wartości początkowej. Poniżej...

    Programowalne układy logiczne   29 Lis 2013 15:07 Odpowiedzi: 5    Wyświetleń: 1992
  • Spartan 3 - Obsługa LVDS 560MHz i konwersja szeregowa-równoległa

    Dzięki za pomoc, niestety Spartan 6 raczej nie wchodzi w grę, ze względu na koszt (jest chyba 5 razy droższy), a szkoda... XC6SLX4 kosztuje u pewnego drogiego dystrybutora na F 35 zł za sztukę :) Druga sprawa, to chyba dopasowanie linii bo podejrzewam że przy tych częstotliwościach to będzie spory problem. Czy są jakieś specjalne wymagania w tym standardzie...

    Programowalne układy logiczne   12 Sie 2011 00:20 Odpowiedzi: 5    Wyświetleń: 1921
  • FPGA-Audio - odtwarzacz MP3/WAV na układzie FPGA

    http://obrazki.elektroda.pl/8660171200_1... Celem projektu było zbudowanie odtwarzacza MP3/WAV, używając jedynie układu FPGA, pamięci RAM i przetwornika stereo DAC. Układ wykorzystuje 32-bitowe jądro procesora programowego pracujące przy taktowaniu poniżej 60MHz, które odpowiada za dekodowanie MP3 bez wsparcia sprzętowego - poza wykorzystaniem...

    Artykuły   19 Kwi 2013 21:37 Odpowiedzi: 1    Wyświetleń: 13776
  • Democracy - otwarta platforma na FPGA do przetwarzania audio dla Raspberry Pi

    http://obrazki.elektroda.pl/3572622400_1... Na portalu https://filmy.elektroda.pl/46_1481280213... Democracy DEV wyposażone jest w szereg wejść i wyjść analogowych dedykowanych do sygnałów audio. W układzie zawarto także wejście i wyjście MIDI oraz dodano możliwość podłączenia przełączników nożnych oraz pedałów ekspresji do kontroli...

    Newsy   11 Gru 2016 12:54 Odpowiedzi: 1    Wyświetleń: 4218
  • Matrix Voice dla Raspberry Pi

    https://obrazki.elektroda.pl/6359380600_... MATRIX Voice to płytka developerska do rozwijania aplikacji i interfejsów sterowanych dźwiękiem. Moduł ten został skonstruowany, by każdy hobbysta mógł pozwolić sobie na konstrukcję niedrogich i łatwych w implementacji systemów sterowania dźwiękiem w aplikacjach Internetu Rzeczy. Moduł Matrix...

    Artykuły   12 Lut 2018 19:43 Odpowiedzi: 0    Wyświetleń: 2775
  • Spartixed - płytka rozwojowa z Spartan 6 do nauki Verilog/VHDL (Kickstarter)

    http://obrazki.elektroda.pl/3033607600_1... Vikas Shukla rozpoczął, za pośrednictwem serwisu Kickstarter, gromadzenie funduszy na realizację projektu płyty rozwojowej o nazwie Spartixed. Spartixed służyć ma jako element platformy edukacyjnej do nauki języków Verilog i VHDL. Sercem płyty jest układ Spartan 6 firmy Xilinx. Spartixed umożliwia...

    Newsy   30 Gru 2015 16:38 Odpowiedzi: 0    Wyświetleń: 2586
  • FPGA Spartan – generowanie 16 strumieni 622Mbps, wydajność logiki i IO

    Mam zrobić układ generujący szybki ciąg bitowy (pseudo-random). Na serializer chcę podawać 16 linii po 622Mbps z FPGA. Z dokumentacji Xilinxa wynika, że same IOs "wytrzymają", ale nie wiem, czy da się zaimplementować w Spartanie logikę, która by wydoliła z generowaniem takiego strumienia? Kiedyś miałem okazję trochę "pobawić" się płytką ewal. ze Spartanem,...

    Programowalne układy logiczne   27 Mar 2008 15:50 Odpowiedzi: 3    Wyświetleń: 1116
  • [Kupię] FPGA Elbert v2 - Spartan 3A płytka rozwojowa

    Poszukuję płytki rozwojowej Numato Elbert v2 Xilinx Spartan XC3S50A. Wciąż można dostać taką w sklepie, ale trochę budżet mnie ogranicza, a może ktoś posiada i nie używa. Może być używana oczywiście. Chodzi jedynie o jej sprawność.

    Projektowanie Bazar   29 Lip 2018 09:15 Odpowiedzi: 0    Wyświetleń: 597
  • Spartan 3 starter kit - czy adapter USB-LPT zadziała z kablem JTAG?

    Witam, mam nadzieje ze temat jeszcze żyje chciałem sie dowiedzieć jakie rozwiązanie znalazł autor tematu mam podobny problem nie mam złącza LPT ani w laptopie ani w stacjonarnym:(a mam płytkę xilinxa z programatorem LPT chciałem sie dowiedzieć czy przejściówki PCI -> LPT działają z tym xilinxem PS przejrzałem te wszystkie cuda i dochodzę do wniosku...

    Programowalne układy logiczne   14 Maj 2009 23:37 Odpowiedzi: 13    Wyświetleń: 3652
  • Sterowanie 12 wyświetlaczami 7-segmentowymi z FPGA Spartan-3E - jak uniknąć migotania?

    Witam Wszystkich. Mam mały problem z wyświetlaczami 7-segmentowymi. Mam płytkę z 12 wyświetlaczami sterowanymi z FPGA Xilinx'a (Spratan-3E). No i tu pojawia się moje pytanie. Jaką ilość wyświeltaczy mogę sterować jednocześnie z jednej szyny danych? Czyli jak długo musi się świecić jeden wyświetlacz aby nie było efektu migotania (czy słabego świecenia)....

    Elementy Elektroniczne - zamienniki i identyfikacja   24 Kwi 2006 21:54 Odpowiedzi: 1    Wyświetleń: 1029
  • XILINX FPGA Spartan3e - Wydajność Microblaze vs ARM-7 w testach benchmarkowych

    Hmm nawiązując do temetu MB vs ARM, to chyba zrobię ten test jeszcze raz jakoś na dniach, w sumie mam wszystko "pod ręką", a będę przynajmniej mógł podać bliższe szczegóły. Jesli chodzi o zegar ARM'a to kwarc był 12MHz, po mnożniku x5 -> 60MHz szło na jądro. Z kolei co do DDR, to męczę się dalej, ale zaczyna mi troszkę "podpadać" IP którego aktualnie...

    Programowalne układy logiczne   10 Maj 2007 07:57 Odpowiedzi: 9    Wyświetleń: 3228
  • [Sprzedam] Xilinx Spartan-3E 500 Starter Kit

    Płytka jak: z kamami hw-spar3e-sk-eu Zestaw zawiera: -płytka -zasilacz -kabel USB Używana tylko podczas zajęć na uczelni. Kupiona kilka lat temu. Cena 600pln. Update ceny: 500pln wraz z kosztami ewentualnej wysyłki. https://obrazki.elektroda.pl/6822079900_... https://obrazki.elektroda.pl/7151197900_...

    Projektowanie Bazar   06 Paź 2017 10:11 Odpowiedzi: 0    Wyświetleń: 1116
  • EagleEye Smart Camera - "inteligentna" kamera z Raspberry Pi CM i Open

    https://obrazki.elektroda.pl/3448794100_... Q-Wave Systems zaprezentowało jakiś czas temu ciekawy moduł deweloperski - Melon S3, łączącą w sobie układ programowalny Xilinx Spartan 3E FPGA z ESP8266. Teraz ta tajska firma powraca proponując zupełnie inny produkt: EagleEye Smart Camera. Płytka jest wykorzystuje Raspberry Pi Compute Module...

    Newsy   24 Wrz 2019 09:36 Odpowiedzi: 0    Wyświetleń: 819
  • [Sprzedam] XILINX SPARTAN 3e 500K Starter

    Witam Na sprzedaż mam płytkę prototypową z układem spartan 3e 500.000 Bramek. dokładnie ta płytka : http://kamami.pl/index.php?ukey=product&... Mało używany (kilkanaście razy). Na LCD nadal jest folia. http://allegro.pl/xilinx-spartan-3e-500k... http://obrazki.elektroda.pl/3163920300_1...

    Ogłoszenia Elektronika   25 Lis 2013 11:47 Odpowiedzi: 0    Wyświetleń: 1383
  • [Sprzedam] XILINX SPARTAN 3e 500K Starter KIT

    Witam Na sprzedaż mam płytkę prototypową z układem spartan 3e 500.000 Bramek. dokładnie ta płytka : http://kamami.pl/index.php?ukey=product&... Mało używany (kilkanaście razy). Na LCD nadal jest folia. Co na płytce : -FPGA: Xilinx XC3S500E Spartan-3E FPGA (up to 232 user-I/O pins, 320-pin FBGA package, over 10,000 logic cells) CPLD: Na płytce...

    Ogłoszenia Elektronika   12 Lis 2013 21:29 Odpowiedzi: 0    Wyświetleń: 1410
  • Sidekiq Z2 - nowy moduł SDR z układem Zynq

    https://obrazki.elektroda.pl/2966478500_... Firma Epiq Solutions wprowadziła właśnie do sprzedaży swój nowy moduł radia definiowanego programowo (SDR) - Sidekiq Z2. Moduł ten ma wymiary zaledwie 51 mm x 30 mm, ale na pokładzie ma bardzo potężny układ Xilinxa: Zynq-7010 praz zintegrowany transceiver RF AD9364 od Analog Devices. Pracuje...

    Newsy   02 Maj 2018 12:01 Odpowiedzi: 0    Wyświetleń: 1599
  • Xilinx Spartan-6 - Obsługa DDR2 z wykorzystaniem MCB

    Wpadła mi w ręce płytka Opall-Kelly XEM-6310 (USB 3.0, DDR2, Spartan-6). Chciałbym z jej pomocą uruchomić obsługę pamięci. Do tego celu przygotowałem projekt w CoreGenerator. Bazując na wygenerowanym UserCode dopisałem zapis i odczyt z pamięci (zgodnie z UG388). Wszystko działa poprawnie. Jednak gdy dopiszę 'cokolwiek' by na przykład obsłużyć podłączonego...

    Programowalne układy logiczne   05 Cze 2013 10:03 Odpowiedzi: 0    Wyświetleń: 1356
  • [Sprzedam] Xilinx Spartan-3E 500 Starter Kit (HW-SPAR3E-SK-EU)

    Witam! Mam do sprzedania zestaw uruchomieniowy firmy Xilinx z układem z rodziny Spartan-3E. Zestaw świetnie nadaje się do uruchamiania małych i średnich projektów, do nauki obsługi narzędzi Xilinx i do nauki języków HDL. Płytka używana kilka razy, stan idealny. Płyta świetna na prezent dla elektronika :) Parametry: Xilinx Spartan-3E 500 Starter Kit...

    Ogłoszenia Elektronika   09 Gru 2011 22:49 Odpowiedzi: 0    Wyświetleń: 1609
  • [Sprzedam] Spartan 6 LX150 FPGA Board with USB 2.0 Microcontroller

    Tanio sprzedam poniższą płytkę: http://www.ztex.de/usb-fpga-1/usb-fpga-1... Cena do negocjacji: 300zł. http://obrazki.elektroda.pl/8832332700_1... Features - Xilinx Spartan 6 FPGA LX150 (XC6SLX150) FPGA, speed grade 3 or 3N - High-Speed (480 MBit/s) USB interface via Mini-USB connector (B-type) - Cypress CY7C68013A EZ-USB FX2...

    Projektowanie Bazar   22 Lut 2014 19:59 Odpowiedzi: 0    Wyświetleń: 1671
  • [Sprzedam] Xilinx Spartan 3E Starter Kit + Płyta FX2-BB

    Zapraszam wszystkich chętnych do zakupu: 1. Spartan-3E Starter Kit, HW-SPAR3E-SK-EU 2. FX2-BB (Na zdjęciu jest widoczna biała podstawka, której zestaw nie posiada) Zastaw był eksploatowany przez dwa miesiące. Następnie schowałem go do szafy, aż do dzisiaj. Pyta nie nosi śladów najmniejszego użytkowania, jest w idealnym stanie. Dodatkowo w skład zestawu...

    Ogłoszenia Elektronika   23 Kwi 2011 09:49 Odpowiedzi: 0    Wyświetleń: 1987
  • [Sprzedam] ATLYS Spartan-6 FPGA Board [4xHDMI, GbE, 128Mbyte DDR2, 16MB Flash,.]

    Mam do sprzedania: Atlys Spartan-6 (SLX45) FPGA Development Kit_EDU Features: Xilinx Spartan-6 LX45 FPGA, 324-pin BGA package 128Mbyte DDR2 16-bit wide data 10/100/1000 Ethernet PHY On-board USB2 ports for programming & data transfer USB-UART and USB-HID port (for mouse/keyboard) Two HDMI video input ports & two HDMI output ports AC-97 Codec with line-in,...

    Ogłoszenia Elektronika   04 Lis 2012 11:12 Odpowiedzi: 0    Wyświetleń: 1062
  • Wybór nowoczesnego zestawu DSP z FPGA do 3000 zł - trendy i rekomendacje

    Witam Chciałbym się zająć tematyką DSP i do tego celu planuję kupić zestaw startowy. Wymagania są takie aby zestaw był nowoczesny i miał, najlepiej na jednej płytce, współpracujący układ FPGA (najlepiej Xilinxa). Zestaw ma być dość uniwersalny, wykorzystywany będzie do przetwarzania obrazów, układów sterowania, telekomunikacji itp. Proszę o informację...

    DSP i Transmisja   07 Maj 2009 17:44 Odpowiedzi: 0    Wyświetleń: 1399
  • [VHDL SPARTAN 3E] Jak wyświetlić własny tekst na LCD w VHDL na Spartan 3E?

    Witam, próbuje opanować obsługę wyświetlacza LCD na płytce Spartan 3E. Zależy mi aby zarówno obsługa jak i inicjalizacja były napisane w vhdlu. Znalazłem kod wyświetlający napis "HELLO!", który po wgraniu na płytkę działa jak należy. Po przeanalizowaniu kodu chciałem wyświetlić swój napis, jednak bez powodzenia. Na symulacji widać, że w obu plikach...

    Programowalne układy logiczne   30 Maj 2013 16:10 Odpowiedzi: 0    Wyświetleń: 2283