REKLAMA

zrobić układ generator

Znaleziono około 4767 wyników dla: zrobić układ generator
  • PWM - Uruchomienie generatora PWM

    Witam! Zrobiłem sobie układzik generatora pwm opisanego na elektrodzie poprawiłem co nie co schemat złożyłem na płytce prototypowej ale coś nie chce działać nie miałem IRL540N takiego jak użył autor to podłączyłem IRF630, ale i tak na próbę podłączyłem diodę rgb przez rezystor do atmegi i nic się nie dzieję nie mam oscyloskopu żeby podejrzeć czy coś...

    Projektowanie Układów   19 Lis 2014 09:30 Odpowiedzi: 3    Wyświetleń: 1350
  • Generator WN - cewka zapłonowa

    Zrobiłem układ z EDW. Generator na 3 bramkach NOT,kondensatorku,potencjometrze. Na 3 pozostałych bramkach wzmacniacz i na bramkę MOSFETA. EFEKT: SUPER!

    Inne Serwis   03 Lut 2009 19:19 Odpowiedzi: 8    Wyświetleń: 5854
  • Jak zbudować układ liczący włączone przyciski na 74xx lub MCU?

    Co do możliwości zrobienia na bramkach, to takie coś jest elementem układu mnożenia liczb metodą [url=]Wallace tree. Prościej mógłby być zrobiony układ działający sekwencyjnie: generator sygnału zegarowego podaje impulsy na CD4017 z wyjściami połączonymi poprzez oporniki z przełącznikami łączącymi do masy, zasilany tak, by wykrywać prąd opornika (poprzez...

    Początkujący Elektronicy   29 Kwi 2020 12:23 Odpowiedzi: 28    Wyświetleń: 738
  • REKLAMA
  • Generator dżwięku "Piszczyk"

    - tu jest świetna strona o tym multiukładzie. Z kilku rzeczy szłoby zrobić ciekawy układ piszczący.

    Audio Estradowe, Sceniczne, Studyjne   01 Cze 2006 14:29 Odpowiedzi: 3    Wyświetleń: 1223
  • Wyłącznik czasowy cykliczny 12/12h - jak zrobić?

    A masz jakieś propozycje analogowych urządzonek (gdzieś czytałem, że można na cmosie tylko nie pamietam jakim) Na CMOS to nie analog. Kiedyś zrobiłem taki układ na TTL. Generator na 7400 i dzielniki na licznikach 7490. Prosty układ, a ilość dzielników w zasadzie dowolna, więc czasy można osiągnąć bardzo długie.

    Początkujący Elektronicy   26 Maj 2011 20:23 Odpowiedzi: 4    Wyświetleń: 4486
  • REKLAMA
  • Generator + Inwerter + Akumulatory 12V - Jak to sensownie połączyć

    Witam szanownych kolegów. Mam sobie amerykańskiego kampera z cichym agregatem 110V. Potrzebuję zbudować prosty układzik aby w kamperze zamontować zasilanie 220V. Niestety nie jest to takie proste jak myślałem, potrzeba tutaj trochę inwencji i znajomości elektroniki (przekaźniki jakieś może ?) aby zbudować działający układ. Mój układ wygląda mniej więcej...

    Początkujący Naprawy   20 Lip 2015 16:57 Odpowiedzi: 2    Wyświetleń: 1341
  • Pomoc w wyborze Generatora funkcyjnego

    Dziękuję za tak szybka odpowiedz. Wiem ze takie tematy były wałkowane na elektrodzie. Pierwszą rzeczą jaka zrobiłem zanim zacząłem szukać generatora to włączyłem elektrodę i przycisk szukaj. Ale nie znalazłem satysfakcjonującej odpowiedzi. Mnie też denerwują wałkowane posty typu ,, poroszę o prosty schemat wzmacniacza, bądź generatora sinus 1khz’’....

    Warsztat co kupić?   18 Cze 2009 14:40 Odpowiedzi: 2    Wyświetleń: 4243
  • Generator funkcji XR2206-pomiary w audio.

    Obie wersje są użyteczne w przypadku sprzętu audio. Ta do 2 Vrms pozwoli Tobie testować typowe wzmacniacze od wejścia sygnału (ale nie gramofonu) aż do stopnia końcowego. Natomiast druga wersja, do 700 mVrms pozwoli na sprawdzenie przedwzmacniacza gramofonowego z wkładką dynamiczną dającą czasami sygnał wyjściowy na poziomie pojedynczych miliwoltów....

    Początkujący Elektronicy   06 Sty 2018 21:10 Odpowiedzi: 1    Wyświetleń: 792
  • Generator przestaje generować

    Cześć, chce się czegoś nowego nauczyć. Kupiłem okazyjnie oscyloskop Siglent SDS 1052. Próbuję montować proste generatorki Colpittsa, nigdy z czymś takim nie miałem styczności. Mam zbudowany prosty układ do pomiaru częstotliwości, zrobiony na układzie, który dodaję w załączniku. Generator z załącznika działa poprawnie, jakaś częstotliwość generuje bo...

    Początkujący Elektronicy   14 Gru 2017 21:06 Odpowiedzi: 13    Wyświetleń: 462
  • Generator kwarcowy - pytanie??

    Ostatnio wpadła mi w ręce uszkodzona karta dźwiękowa Sound Blaster Live 5.1. Po oględzinach zobaczyłem ze jeden układzik jest spalony (wybulenie). Był to układzik do ktorego był podłączony rezonator kwarcowy czyli pewnie ten układ robił za generator sygnału taktującego (8 nóżek - symbol 6333A). I tu moje pytanie jak zrobić generator wykorzystujac ten...

    Projektowanie Układów   28 Gru 2012 22:10 Odpowiedzi: 4    Wyświetleń: 3839
  • Stroboskop ls1500dmx nie działa generator.

    Może być ciężko jak jest na UC. Ciężko nie ciężko bo zawsze można wywalić stary generator i zbudować coś prostego na ne555 i podpiąć się do TLP541G więc z tym nie problem :D Jednak chciałbym zachować oryginalność urządzenia i naprawić go na tych podzespołach co jest :D Więc czekam na dalsze sugestie :D Temat zamykam z powodu braku jakichkolwiek informacji...

    Oświetlenie i Efekty sceniczne   19 Mar 2012 18:55 Odpowiedzi: 4    Wyświetleń: 2155
  • Układ nadążny za słońcem, ATMEGA16, LCD, ds18b20,L298, PWM, ADC

    Układ nadążny za słońcem- inaczej układ śledzący, jest rodzajem układu sterowania, który umożliwia automatyczne lub półautomatyczne wodzenie za Słońcem. Układy tego typu wykorzystuje się najczęściej w celu znacznego podniesienia wydajności baterii fotowoltaicznych lub kolektorów słonecznych. Podstawowym założeniem projektu jest realizacja układu nadążnego...

    DIY Konstrukcje   08 Lut 2017 12:17 Odpowiedzi: 61    Wyświetleń: 47940
  • REKLAMA
  • Jak zrobić miernik częstotliwości

    Głowice z mieszaczami samowzbudnymi mają niewielką amplitudę drgań heterodyny-mieszacza, w związku z tym trudniej cewką pomiarową zbliżoną do cewki heterodyny złapać stabilny pomiar. Dla porównania głowice z mieszaczami obcowzbudnymi (czyli mieszacz i heterodyna wykonane na osobnych 2 tranzystorach) mają dużo większą amplitudę oscylacji, dochodzi ona...

    Początkujący Elektronicy   03 Paź 2017 18:13 Odpowiedzi: 28    Wyświetleń: 6999
  • Jakim urzadzeniem sprawdzić sprawność układu scalonego "cyfrowego" np.

    Posiadam To dobrze. zasilasz układ na płytce stykowej (kondensatory odsprzęgające zalecane) Na podstawie tabeli prawdy z dokumentacji układu wymuszasz różne stany na wejściach przy pomocy zworek lub dla układów sekwencyjnych prostego generatorka na NE555 i obserwujesz multimetrem stan wyjść. Pamiętaj że układy sekwencyjne (jak4021) reagują bardzo szybko...

    Początkujący Elektronicy   02 Cze 2018 09:11 Odpowiedzi: 13    Wyświetleń: 2217
  • BASCOM - Potrzebna pomoc przy wykonaniu układu

    Muszę zrobić układ który będzie zbierał informacje takie jak: - Prędkośc obrotowa (częstotliwość) dwóch wałów (fotokomórki szczelinowe SX4070 i tarcza 50 nacięć na obrót) - dają na wyjściu TTL - maksymalna częstotliwość sygnału około 2500 Hz. - Częstotliwość impulsów z generatora sterowanego potencjometrem (NE555) - Przedział częstotliwości dowolny....

    Ogłoszenia Elektronika   16 Gru 2009 14:04 Odpowiedzi: 3    Wyświetleń: 1365
  • Zamienniki DIY układu M5207L01 i innych do bramki BOSS NS-2

    Witam ponownie! Przedstawiam tutaj zamienniki DIY układów M5207L01 i podwójnych wzmacniaczy operacyjnych w obudowach SIL. Dla zmiejszenia wymiarów wykorzystałem SMT. W internecie podobnego projektu nie znalazłem, więc można uznać, że jestem być może pierwszy na świecie, który się tego podjął :D. Jest to dla tych, którzy źle podłączyli zasilanie zewnętrzne...

    DIY Akustyka   12 Wrz 2011 17:35 Odpowiedzi: 4    Wyświetleń: 11348
  • Lampowy nadajnik radiowy FM - zamiana ECC81 na ECC85 - wydaje się, że generator się nie wzbudza

    Zestrajałeś za pomocą grid-dip-metra² na zimno obwód rezonansowy L1+Tr1+Tr2? Stawiasz generator nastawiony na określoną częstotliwość tak by jego cewka była w pobliżu L1 i kręcisz za pomocą plastikowego śrubokręta Tr1 aż uzyskasz zmniejszenie amplitudy generatora bo część energii odbiera sprzężony obwód rezonansowy. Potem robi się to z Tr2. Poprawiasz...

    Radiotechnika Serwis   03 Lut 2023 20:20 Odpowiedzi: 10    Wyświetleń: 513
  • Układy Generatorów

    Cześć mam problem bo mam zrobić referat na temat najprostszych generatorów. Mam podane nazwy tylko niewiem jak sie prawidłowo pisze i w tym problem że niemoge znaleźć ... są nimi generatory: Meisnera, Hartleja, Colpica. Jeżeli ktoś wie jak sie prawidłowo pisze to niech da znać. Z góry dzięki za Odp. :)

    Początkujący Elektronicy   11 Gru 2005 10:33 Odpowiedzi: 1    Wyświetleń: 939
  • Schemat układu ze zdjęcia. Chcę zrobić taki układ.

    Czy transformator CEP14-301 OXC S ( nazwa może być taka CEPI4-30I OXC S ponieważ trudno odczytać czy w nazwie są liczby czy litery i) podwyższył by napięcie 9V na około 300V. Wymiary 1x1,5x1cm. Czy ten transformator nadawał by się do ukladu podanego niżej i jak podłączyć "nóżki transformatora??? Mogł by ktoś oznaczyć na kturumś zdjęciu które "nóżki"...

    Projektowanie Układów   20 Mar 2006 11:13 Odpowiedzi: 29    Wyświetleń: 4684
  • Co moge zrobić? -proste układy...

    jacobs242 - to nie jest syrena tylko "Generator Efektów Dźwiękowych" ... :D mam to rególujesz sobie pot. i masz fajne efekty :D Taki mały syntezator ... :D Poszukam jakichś schematów na tranzystorach ale nie jestem pewien czy coś znajde :cry: bo nie bawie sie w takie układziki tylko we wzmacniacze zasilacze itp :cry: Pozdro sebidino!!!!

    Początkujący Elektronicy   21 Mar 2006 12:05 Odpowiedzi: 48    Wyświetleń: 13666
  • Nietypowy generator. Jak to wszystko pogodzić?

    Witam, Mam pewien problem. Chcem zrobić układ który załączał by na określony czas jakieś urządzenie i potem je na określony czas wyłączał i tak w kółko. Czasy musze programować przez przyciski i wyświetlać je na wyświetlaczu LCD. Narazie jest prosto. Tylko jak pogodzić to generowanie impulsów z działaniem reszty programu tak żeby nie zabużało czasu...

    Mikrokontrolery   09 Sty 2007 17:23 Odpowiedzi: 5    Wyświetleń: 1083
  • Dlaczego generatorek nie chce działać?

    Cześć! Budowałem sobie w szkole taki mały układzik jak na rys.1 Wstawiłem tam tranzystorek BF199 i wszystko działało po uruchomieniu. Kwarc miał częstotliwość 49 mhz i miernik częstotliwości pokazywał tyle samo. Układ był bardzo wrażliwy na zmiany zasilania. Podczas eksperymentów tranzystor zrobił sie bardzo ciepły i chyba się uszkodził. Nauczyciel...

    Radiotechnika Serwis   21 Maj 2007 21:11 Odpowiedzi: 3    Wyświetleń: 2660
  • Attiny 13 generator PWM 70 - 100 % losowo zmienne

    Witam prosze o pomoc poszukuje kogoś kto napisałby dla mnie program na ATtiny 13 generatora PWM ale o zmiennym wypełnieniu w zakresie mniej więcej 70 - 100% losowo zmienne . Układ będzie podłączony przez transoptor do pompki w fontannie i bedzie to dawało efekt podskakiwanie wody , zrobiłem takie cos na generatorku Ne 555 ale nie mogę cały dzień stać...

    Mikrokontrolery   10 Cze 2007 22:00 Odpowiedzi: 6    Wyświetleń: 2897
  • Układ przestrajający generator LC

    :-)"] kilka generatorów LC odpada gdyż układ jest już gotowy a ja muszę dorobić cyfrowe przestrajanie. Najprościej byłoby zastosować przekaźniki ale że w całym układzie generatorów jest 4 to przekaźników potrzeba 20 a urządzenie musi działać 10lat i trudno określić ilość cykli. Częstotliwość generatorów zmienia się w zakresie 40kHz-50kHz Taa ... tak...

    Projektowanie Układów   22 Cze 2009 09:54 Odpowiedzi: 5    Wyświetleń: 1329
  • Zrób sobie FPGA z bramek 7400

    Autor napisał własny język opisu sprzętu, coś w rodzaju bardzo uproszczonego Veriloga lub VHDL. Trzeba wpisać wprost odpowiednie równania logiczne dla każdego slice osobno i ręcznie zadeklarować piny wejściowe i wyjściowe na krawędziach modułu. Na poniższym filmiku autor prezentuje FPGA złożony z 8 komórek, w którym zaimplementował generator PWM :)...

    DIY Zagranica   09 Lis 2012 16:03 Odpowiedzi: 5    Wyświetleń: 11508
  • Podłącznie diody do układu z generatorem i wzmacniaczem

    Przecież kolega wyżej podpowiada Ci, że można to zrobić przy pomocy regulowanego offsetu DC w generatorze. Masz generator i nie znasz jego możliwości? - przy napięciu zmiennym generatora ustawionym na zero ustawiasz offset DC na wartość taką, aby dioda zaczęła się żarzyć (ważna odpowiednia polaryzacja), następnie dodajesz amplitudy napięcia zmiennego...

    Początkujący Elektronicy   06 Lis 2012 14:52 Odpowiedzi: 3    Wyświetleń: 924
  • Jak to zrobić? Najprostrzy migacz na Mosfecie (n-channel).

    To już nie migacz, na tych częstotliwościach masz znacznie większy wybór generatorów. Generator Meissnera to raczej nie jest układ na duże moce, zapewne robiłeś generator samodławny podobny mozna też wykonać z MOSFET'em.

    Początkujący Elektronicy   29 Lip 2014 06:18 Odpowiedzi: 8    Wyświetleń: 1872
  • Generator sinus 300kHz o amplitudzie do 1V

    Całkiem porządny generator z mostkiem Wiena, ale dość skomplikowany - jeśli autorowi chodzi o generowanie tylko jednej stałej częstotliwości, to zbędny jest cały układ jej zmieniania, potrzebne są dwa kondensatory i dwa oporniki (z całego układu na lewo od T1). W "Radioamatorze i Krótkofalowcu" był artykuł omawiający generatory z mostkiem Wiena, ale...

    Projektowanie Układów   14 Gru 2014 18:11 Odpowiedzi: 10    Wyświetleń: 2235
  • Radio FM z wykorzystaniem układów scalonych- mój pierwszy projekt

    I mnie się wydaje że przy masówkach nikt nie patrzy na strojenie. Nie chodzi o masowość tylko o tolerancję elementów. Może po prostu stosują kondensatory i cewki o odpowiedniej tolerancji. Dodatkowo możliwe że celowo tłumią obwody żeby poszerzyć ich pasmo a więc żeby uniknąć konieczności strojenia. Układy bardzo mocno scalonych odbiorników UKF FM na...

    Początkujący Elektronicy   28 Cze 2016 17:20 Odpowiedzi: 60    Wyświetleń: 7401
  • Jak zaekranować generator?

    Zrobiłem urządzenie z generatorem RC na kości 74LS123, którego kondensatorem jest wyprowadzony na zewnątrz pasek folii aluminiowej. Folia ta służy za sensor na który oddziałuje także pojemność ciała człowieka, bo o to w tym urządzeniu chodzi. Mam jednak problem z zaekranowaniem innych elementów i procesora na płytce. Podłączenie masy układu do aluminiowego...

    Inne Serwis   28 Cze 2004 17:26 Odpowiedzi: 3    Wyświetleń: 1163
  • Układ mnożący czestotliwosc * 2 ??

    Kuna :wink: , byłem pewien, że mam gdzies taki gotowy schemacik ale nie mogę go odnaleźć. Mogę więc tylko poteoretyzować. Wydaje mi się, że można to zrobić z dwoma generatorami monostabilnymi na bramkach. Pierwszy da zwłokę po zboczu narastającym, drugi - wyzwalany z pierwszego - da krótki impuls. Przebieg wyjściowy wziąć jako iloczyn logiczny sygnałów:...

    Początkujący Elektronicy   05 Mar 2005 20:48 Odpowiedzi: 15    Wyświetleń: 4373
  • Generator do licznika Renault Master 2005r

    Po kolei-miałem dużo sytuacji z samochodami skoda fabia,vw golf (system marelli AV),jak i opel astra G w których zamontowano instalację gazową.Problem polegał na totalnym polożeniu się adaptacji na benzynie-po prostu silnik nie pracował prawidłowo na tym rodzaju paliwa. Krótkotrwale pomagał reset wartości wyuczonych.Przyczyną takiego stanu rzeczy okazywał...

    Samochody Elektryka i elektronika   09 Gru 2005 22:24 Odpowiedzi: 7    Wyświetleń: 4047
  • Lampa plazmowa. Na jakim układzie są najlepsze efekty?

    Witam!! Najlepszy efekt jest chyba na układzie elektronicznym z generatorem <jak dobrze pamiętam> NE555 bo możesz regulować napięcie i częstotliwość <chyba> ale za to ograniczenie długości iskry poprzez maksymalne zasilanie 24V Dla mnie najlepszy i najwytrzymalszy będzie układ na tranzystorach 2n3055 bo one są w miarę mocne i się nie smażą...

    Projektowanie Układów   02 Wrz 2006 09:17 Odpowiedzi: 8    Wyświetleń: 4452
  • Przeróbka prostego układu

    Gdy steruje optotriakiem...przykładowo podam ciągłe napięcie na diode która znajduje się w optotriaku to stroboskop miga cały czas według taktowania sieci z szybkością wyznaczoną przez P1. Jak przerobić ten układ żeby stroboskop nie migał przez P1 tylko żebym ja mógł go wyzwalać swoim taktowaniem czyli że jak podam ciągłe napięcie na diode w optotriaku...

    Projektowanie Układów   03 Lip 2006 18:48 Odpowiedzi: 24    Wyświetleń: 2269
  • Alarm bezprzewodowy. Czy zrobił ktoś taki w miarę prosty alarm?

    Prosty sposób, żeby alarmu nie dało się (za łatwo) oszukać: nadajnik wysyła sygnał cały czas, i sygnał zawiera dane z generatora liczb pseudolosowych; odbiornik ma taki sam generator, i porównuje; jak ma być zgłoszony alarm, to nadajnik zmienia kody. Próba wysłania sygnału zastępczego spowoduje alarm - bo nie będzie prawidłowych kodów. Brak sygnału...

    Projektowanie Układów   12 Wrz 2006 13:42 Odpowiedzi: 8    Wyświetleń: 2265
  • Prosty układ generator -sieć w Simulinku- problem

    Witam wszystkich. Próbuję sklecić prosty układ w Matlabie Simulinku, który składa się z generatora asynchronicznego podłączonego do sieci. Nie znam zbyt dobrze simulinka, więc pytam: - jak zamodelować napęd mechaniczny na wał generatora? w Helpie jest napisane aby do wejścia Tm podać sygnał ujemny wtedy maszyna będzie się zachowywać jak generator. Ok,...

    Początkujący Elektronicy   03 Paź 2006 23:22 Odpowiedzi: 0    Wyświetleń: 774
  • własny generator napędzany silnikiem diesla

    W sieci rozpływ prądów i kompensacja mocy będzie zachodzić samoczynnie. Jeżeli z generatora oddasz mało mocy to resztę zapotrzebowania pokryje ZE. Jeżeli nie chcesz cofać energii z generatora do sieci, to należało by zrobić układ pomiaru mocy na wejściu z ZE. W przypadku gdy energia będzie przesyłana do sieci ZE to wtedy automatyka zmniejszy moc oddawaną...

    Elektro Maszyny i Urządzenia   07 Sty 2009 17:11 Odpowiedzi: 16    Wyświetleń: 3423
  • Generator wn do tesli pomoc

    Nic dziwnego, że nie działa - cewka Tesli musi być zasilana napięciem przemiennym o częstotliwości sieciowej 50Hz lub napięciem stałym (wyprostowanym). To 50Hz nie jest przecież wartością krytyczną... Zależy od reszty układu... To powiedzcie co zmienić żeby działało Pisałem przecież... Wstaw diodę HV. Eksperymentalnie tak robiłem - działa. Ale to tylko...

    Projektowanie Układów   16 Wrz 2008 20:30 Odpowiedzi: 31    Wyświetleń: 4892
  • Stroboskop LED - poprawność układu.

    Nie tam nie ma być węzła , o wiele lepiej będzie to zrobić na układzie ne555 jeśli czujesz się na siłach. Schemat zamieszczałem wielokrotnie na elce i zamieszczam jeszcze raz:

    Optoelektronika   08 Lut 2009 22:12 Odpowiedzi: 7    Wyświetleń: 2215
  • generator kwarcowy w metalowej obudowie ?

    Czy stosowanie generatorów kwarcowych w obudowach IQXO-22, -22I wymaga poza prawidłowym podłaczeniem zasilania i ew. opcjonalnego sterowania wyjściem trójstanowym, jakiś specjlanych warunków ? Z pozoru rzecz banalna mi się przytrafiła która sprowadza się do tego że ubzdurałem sobie zrobić jedno żródło impulsów zegarowych, dla procka i ew. innych układów....

    Mikrokontrolery AVR   12 Wrz 2009 14:12 Odpowiedzi: 6    Wyświetleń: 2555
  • Układ scalony UL1000 modulator kołowy - jak wykorzystać ?

    Witam! Mam kilka archaicznych już układów UL1000 (modulator kołowy) a chciałbym je gdzieś wykorzystać. I stąd moje pytania: - w jakich częstotliwościach może pracować UL? - jak moduluje sygnał? AM czy FM? Chciałbym zrobić jakiś generator do strojenia odbiorników z tym właśnie układem. Generator AM mnie interesuje stąd moje pytania. Pozdrawiam, Marcin

    Projektowanie Układów   02 Paź 2009 10:27 Odpowiedzi: 1    Wyświetleń: 3000
  • Generator z miernikiem częstotliwości - dobór elementów

    Na wstępie do moderacji proszę o PW jeśli publikuję temat w niewłaściwej części forum, postaram się wyeliminować problem w przyszłości. Jeśli jest dobrze to nie ma sprawy. Miernik częstotliwości na PIC16F84 (1Hz...50MHz) -> prościej się nie da bo sprawdzałem w sieci :) Przełącznik SW1 wstawiłem tylko dla potrzeb symulacji, normalnie będzie tu wejście...

    Warsztat elektronika   26 Gru 2009 12:40 Odpowiedzi: 4    Wyświetleń: 4598
  • Układ ARW na NE572 z noty i wzmacniaczu mocy TDA2030

    Witam mam mały problem stworzyłem układ na podstawie not katalogowych wzmacniacz z automatyczną regulacją i wzmacniacz mocy Wszystko wygląda tak Do układów podaje sygnały z generatora. Układy osobno pracują poprawnie razem nie bardzo. Jeżeli wejście jest odłączone na wzmacniacz mocy sygnał na wyjściu NE5532 jest ok. Jeżeli podłącze wejście wzmacniacza...

    Początkujący Naprawy   22 Lut 2010 20:37 Odpowiedzi: 10    Wyświetleń: 3380
  • Starter do układu impuls po czasie lub generator prost.gasn.

    Wybrałem rozwiązanie w układzie maksymalnej prostoty - bo też powinno działać tak jak myślę w moim układzie. Układ kondensator + dioda + rezystor + tranzystor + przekaźnik - czyli opóźniacz załączenia - wpięty pod styk NC i podający przez czas po załączeniu impuls z generatora np na UCY 7404 na wyjście, gdy kondensator się naładuje tranzystor odpali...

    Początkujący Naprawy   26 Sty 2011 07:33 Odpowiedzi: 2    Wyświetleń: 1726
  • Sterowanie N-Mosfetem za pomocą NE555 przy zewnętrznym zasilaniu generatora

    Jeszcze musisz połączyć masę układu, z ze źródłem FET'a. Czy mógłbym w tedy sterować triakiem 400V, który by regulować wypełnienie napięcia sieciowego? Brzmi jak brednie, nie ma czegoś takiego jak wypełnienie napięcia sieciowego, co nie znaczy, że się nie da. Jak PWM z MOSFET'em, to nie z triakiem, za to z mostkiem i modulujemy napięcie sieciowe PWM'em...

    Projektowanie Układów   12 Kwi 2012 06:44 Odpowiedzi: 4    Wyświetleń: 3040
  • Generacja sygnału audio - jakiś prosty układ.

    Znalazłem taki schemat generatora z mostkiem Wiena. Myślę, że będzie dobry. Nie wiem tylko jaką dokładnie wartość powinien przyjąć R2. Ma być 2 raz większy niż całe R1, ale czy potenciometr też wchodzi w to R1?? No jeśli tak to R2 powinno być 2M Ohm. Myśle jeszcze o zasilaniu, chiałbym zrobić, żeby ten generator był przenośny czyli nie zasilany z sieci,...

    Początkujący Elektronicy   11 Paź 2012 16:49 Odpowiedzi: 5    Wyświetleń: 1881
  • Generator szumów - dwa układu.

    Witam. Mam następującą sprawę. Potrzebny mi do zbudowania generator szumów do zakresu około 500kHz-1MHz. Wiem, że generator szumów tylko akustycznych można znaleźć np.tu ww w. elportal.pl/pdf/k04/28_03c.pdf . Jednak mi potrzebny taki, który wygeneruje szumy o znacznie wyższych częstotliwościach. Znalazłem w archiwum forum coś takiego: ww w. maximintegrated.com/app-notes/index.mvp/...

    Warsztat elektronika   25 Cze 2013 17:02 Odpowiedzi: 3    Wyświetleń: 1158
  • Zasilanie barki na wodzie - układ sieci

    Dzisiaj skonsultowałem projekt z mądrą głową w kwestii projektowania instalacji elektrycznych, wnioski są następujące: Można by na barce zrobić układ TN lecz problem pojawia przy agregacie który w przypadku modeli o małych mocach 1 fazowych nie ma wyprowadzonego punktu środkowego gwiazdy generatora. Najczęściej wszystkie 3 fazy 3x230V trafiają do przekształtnika,...

    Elektryka Instalacje i Sieci   18 Sty 2015 23:16 Odpowiedzi: 53    Wyświetleń: 5055
  • [Sprzedam] Sprzedam części nowe i kilka nie dokończonych układów.

    Witam po zrobieniu porządków i znalazło się kilka rzeczy które są mi zbędne. Niedokończony generator funkcyjny do 10MHz 80zł Niedokończony oscyloskop cyfrowy do 40MHz 300zł Niedokończony oscyloskop analogowy do 10MHz 50zł Trochę nowych części. 200W 12v 20zł ZASILACZ DO OSCYLOSKOPU 5ZŁ WYŚWIETLACZ DO OSCYLOSKOPU CYFROWY OSCYLO CYFROWY OSCYLO PANELE DO...

    Inne Bazar   11 Sie 2015 07:38 Odpowiedzi: 0    Wyświetleń: 891
  • Bateryjnie zasilany generator Marxa wytwarzający 180kV

    W poniższym artykule została opisana budowa i zasada działania generatora Marxa zdolnego do wytwarzania wyładowań elektrostatycznych o napięciu powyżej 100kV. Opisywany generator to układ elektryczny składający się z kondensatorów, rezystorów i iskierników. Generator ten jest zdolny do wytworzenia impulsów o wysokim napięciu z niskonapięciowego źródła...

    DIY Zagranica   23 Lis 2015 12:38 Odpowiedzi: 4    Wyświetleń: 12702
  • Nietypowy generator na NE555 - obliczenia

    I ten zwarty do masy siódmy pin to na pewno błąd na schemacie. Zapewne pomyłka na schemacie. Panowie, to żeście skomplikowali sprawę, bo: - ten fragment schematu to jest z tematu DVDM i DVDM działała ta Tesla z takim generatorkiem (tzw. interrupterem) na NE555 - sam już zrobiłem prototypowo układ wedle tego schematu i też działa, tzn. na pewno generuje...

    Początkujący Elektronicy   13 Gru 2015 11:43 Odpowiedzi: 8    Wyświetleń: 1587
  • Przestrajany generator LC - jak zbudować?

    Projekt dobry- dzięki za schemat jednak potrzebuję prostszy układ generatora. Taki co bym mógł w moim nadajniku zastosować itp. Może jednak przebuduję ten generator co działał tylko co mam w nim zmienić w celu zmienienia częstotliwości? Generator który zrobiłem to chyba generator Colpittsa. Zmiana wartości kondensatorów powodowała ,że układ nie działał....

    Początkujący Elektronicy   27 Lut 2016 22:16 Odpowiedzi: 5    Wyświetleń: 819
  • Generator Colpittsa: Czemu częstotliwość jest niższa niż obliczona?

    Ehh, przejrzałeś mnie.... i co ja teraz zrobię.... weź może jeszcze uświadom inżynierów i dyrektorów fabryk, żeby nie tracili czasu i zasobów na coś tak bezsensownego jak proces strojenia/uruchamiania. Czyli już nie pojemności montażowe? Nie muszę, sami na to wpadli, widziałeś trymer, albo cewkę z rdzeniem umożliwiającym strojenie w telefonie komórkowym?...

    Projektowanie Układów   12 Lut 2024 10:04 Odpowiedzi: 13    Wyświetleń: 276
  • Generator napięć losowych

    Zgadzam się z tOMmy'ym. Za moich pięknych czasów żeby kupić rejestr trzeba było nieźle zakombinować. A potem projektowanie układu pod to co się ma, a nie rozwiazanie pod układ. Cóż technika idzie naprzód, lada dzień młodzież bez procesora nie będzie umiała zrobić migającego leda.

    Projektowanie Układów   28 Mar 2003 12:37 Odpowiedzi: 8    Wyświetleń: 3331
  • Jaki generator sygnału 1Hz do zegara cyfrowego?

    nie prościej na inwerterkach, oporniczku i kondensatorku Ja poproszę taki schemat, ma byc na "inwerterkach, oporniczku i kondensatorku" Schemat na rys., wykorzystano układ CD4521 + rezonator 4,194304MHz, na 14 nodzę otrzymamy częstotliwość 1Hz; wypełnienie 50%. Jeżeli przerzutnik JK zegara jest zrobiony z układów z rodziny 74LS, 74HCT i jest zasilany...

    Początkujący Elektronicy   16 Gru 2005 17:12 Odpowiedzi: 11    Wyświetleń: 6727
  • jak zrobić przełącznik pomiędzy bateriami?..

    ... połączone anody tych diód do minusów baterii będę teraz określał jako "s_minus"; połączone plusy baterii jako "plus"; plus i s_minus wykorzystujesz do zasilania CD4017 (lub CD4022), oraz TLC555; wejście CLOCK tego CD łączysz z wyjściem TLC555, wejście CLOCK ENABLE do s_minusa; wyjścia do bramek mosfetów, a pierwsze niewykorzystane do RESET (jeśli...

    Projektowanie Układów   21 Kwi 2006 11:27 Odpowiedzi: 12    Wyświetleń: 2100
  • Generator na AD9833 lub MAX038 - który lepszy?

    Ja zrobiłem na MAX038 generator sterowany uP. Do około 500Khz piękne wszystkie przebiegi (regulacja wypełnienia i częstotliwości). Przy 1Mhz tylko sinus i trójkąt. przy 5Mhz sinus a powyżej to juz nic :). Tylko ja potrzebowałem tylko do 1Mhz a przy 10Mhz to pewnie juz trzeba zwracac uwagę na wszystko (płytka, elementy RC itd.). Ten Ad9833 to może być...

    Projektowanie Układów   20 Wrz 2007 00:49 Odpowiedzi: 13    Wyświetleń: 9619
  • Krótkofalówki... jak zrobić samemu??

    heh ciekawy układ generatora. Czy to jakiś podwójny Meissner czy jaki inny gad ? ;)

    Radiotechnika Serwis   19 Lis 2006 13:07 Odpowiedzi: 17    Wyświetleń: 13694
  • W jaki sposób to działa? Zasymulowany układ.

    pewnie, jak załączasz napięcie to zawsze na moment pojawiają się stany nie ustalone, w twoim układzie pojemności muszą się naładować tranzystor tez ma jakąś prędkość z którą może być załączany itd. ciężko zrobić układ który odrazu by dawał przebieg taki jak chcesz. no chyba ze na wyjściu generatora zrobisz sobie włącznik i pozałączeniu zasilania, po...

    Projektowanie Układów   17 Sty 2007 18:30 Odpowiedzi: 2    Wyświetleń: 1104
  • Generator WN, dlaczego to nie chce działać?

    kolego piszesz sam ze wartosci rezystorow sie nie zgadzaja!! wiec to moze byc przycyna wadliwej pracy układu!! wg mnie najlepszym rozwiązaniem było by zrobienie sterownika na układznie ne555 lub na 2 tranzystorach MOS lub 2n3055 mase schematow jest na elektrodzie i w www.google.pl generator z ukłądem Ne555 daje wiel mozliwosci, regulacje częstotliwosci...

    Projektowanie Układów   18 Sty 2007 11:30 Odpowiedzi: 7    Wyświetleń: 1820
  • Diodowe Generatory Funkcyjne

    Witam Proszę o pomoc bo nie wiem od czego zacząć. Mam zaprojektować układ generatora funkcyjnego ze wzmacniaczem operacyjnym o charakterystyce napięciowej generatora jak na rysunku. Dane:Uwe1=1, Uwe2=2, Uwe3=3, Uwe4=3,5, Uwy1=4, Uwy2=6,Uwy3=10. Może macie materiały które mi przy tym pomogą. Naprowadzcie mnie od czego tutaj zacząc i jak to zrobić. Dziękuje

    Początkujący Elektronicy   25 Mar 2007 22:12 Odpowiedzi: 0    Wyświetleń: 1241
  • CMOS-y - co jest grane? Dlaczego układ nie zareagował?

    Witam!! Zrobiłem sobie taki układzik na 2 inwerterach (jest to generator prostokąta) i elegancko zapala mi diodę z określoną częstotliwością. Problem się zaczyna gdy chce zrobić to samo, ale w technologii CMOS> Gdy podłączyłem tak samo jak w TTL to układ wcale nie zareagował:( Zastosowałem układ CD4069, który w swej strukturze jest identyczny jak UCY7404,...

    Początkujący Elektronicy   13 Paź 2007 19:30 Odpowiedzi: 7    Wyświetleń: 1568
  • Generator funkcyjny NE555 od Velleman + TDA 2006

    Witam, mam zamiar wzmocnić generator sinusa 1kHz (układ w poście "Generator funkcyjny NE555 od Velleman" o maksymalnej amplitudzie 200mV do 10V za pomocą wzmacniacza TDA 2006. Obciążenie będzie wynosiło 60 ohm. Czy to da się wogóle zrobić, jeśli tak to jak to najprościej zrobić. Zasilacz do generatora będzie na 9V, więc myślałem, aby nim od razu zasilić...

    Audio Estradowe, Sceniczne, Studyjne   23 Kwi 2008 16:09 Odpowiedzi: 0    Wyświetleń: 1342
  • generator piły z amplitudą 10V przy zasilaniu 12V

    Potrzeba mi generator piły z amplitudą 10V przy zasilaniu układu 12V. Podobno można zrobić na NE555 ale nie wiem jak to zrobić. Może ktoś podesłać schemat.

    Projektowanie Układów   29 Kwi 2008 15:52 Odpowiedzi: 2    Wyświetleń: 1642
  • Układ z tranzystorem nie chce działać.

    Tu masz filmik jaki zrobiłem na szybko do tego generatora. Kondensator dałem 470uF i R=2KΩ przy 12V

    Początkujący Elektronicy   30 Maj 2008 14:06 Odpowiedzi: 30    Wyświetleń: 5243
  • [bascom] generator częstotliwości Atmega8 PWM

    Cześć. Jestem początkujący dlatego chciałbym zaczepnąć porady. Chciałbym zrobić generator PWM na uC Atmega16. Na wyjściu ma byc przebieg +/-12V o zmienianej częstotliwości z pomocą klawiatury, oraz drugi przebieg +-5V także o zmienianej częstotliwości. Wybrałem Timer1,który ma 2 wyprowadzenia. Chodzi o to,że jest dostępne tylko 12V,więc po pierwsze...

    Mikrokontrolery   04 Sty 2009 13:01 Odpowiedzi: 17    Wyświetleń: 7338
  • Nie działa prosty generator na BF245. Dlaczego?

    Prodig -> oczywiście, że się da to zrobić. Proponuję jednak generator w układzie Meissnera lub Hartley'a Są to generatory ze sprzężeniem indukcyjnym; pierwszy - ze sprzężeniem transformatorowym, drugi - autotransformatorowym. Dają bardzo czysty sinus bez szczególnych zachodów. Wzbudzają sie o wiele łatwiej niż generatory ze sprzężeniem zwrotnym pojemnosciowym,...

    Radiotechnika Serwis   11 Gru 2008 21:04 Odpowiedzi: 9    Wyświetleń: 4441
  • Układ powtarzający pracę kierunkowskazu

    Dzień dobry Chciałbym zrobić układ który będzie po jednorazowym "pyknięciu" kierunkowskazem powodował jego pracę przez ok 3s. Wymyśliłem że chce użyć do tego generatora Ne 555. Wyliczyłem ze wzoru odpowiednie R=220kOhm i C=12uF. Jeszcze nie sprawdziłem czy przełączając kierunek jest + czy - ale zakładam że jest +. Na moim prowizorycznym schemaciku zaznaczyłem...

    Projektowanie Układów   25 Mar 2009 12:17 Odpowiedzi: 1    Wyświetleń: 1122
  • Generator sinusoidy na n555

    Hey, realizuje układ generatora sinusoidy na n555 (muszę koniecznie na n555, nie moze być vco, ani z mostkiem Wien'a itd) Parametry układu , jakie muszę otrzymać: *zmienna częstotliwość: 100-10kHz *zmienna amplituda: 0-5V układ wydaje się błachy, ale w rzeczywistości nie mogę sobie z nim poradzić. Układ który zrobilem: Zmiana częstotliwości (potencjometrem...

    Projektowanie Układów   09 Maj 2009 18:11 Odpowiedzi: 8    Wyświetleń: 8190
  • Generator WN (zvs Driver)

    Kolego, to bardzo prosty układ generatora samodławnego. Nie będę pisał jaka jest jego zasada działania, podpowiem co masz zrobić-zamień miejsami doprowadzenia ozwojeń pomiędzy punktem wspólnym a bazami tranzystorów. Czasami może pomóc zasosowanie kondensatora w szereg.

    Początkujący Naprawy   09 Cze 2009 21:53 Odpowiedzi: 13    Wyświetleń: 6921
  • generator impulsów losowych

    Ciekawe, tl082 pracuje na zasilaniu +5/-5 ?. A druga sprawa ten sam autor zrobił ten układ który umiesciłem w poście. Także problem dalej pozostaje ten sam. Link do tego mojego układu.

    Projektowanie Układów   10 Cze 2009 18:01 Odpowiedzi: 5    Wyświetleń: 2453
  • Generator funkcyjny na MAX038

    Jasne, powiedz w jaki sposób chcesz zrobić na NE555 generator sinusa z zawartością harmonicznych < 1% do tego przestrajany w stosunku 350:1. Czekam na ten genialny pionierski układ.

    DIY Zagranica   02 Lis 2009 20:49 Odpowiedzi: 14    Wyświetleń: 16799
  • Prosty układ do poprawienia - opóźnianie zbocza

    Witam, Mam taki problem. Mam zaprojektować układ, który będzie opóźniał zbocze rosnące i/lub malejące sygnału wejściowego, w zależności od stanu wejść sterujących. Układ jaki wymyśliłem wygląda tak: Po lewej jest generator sygnału wejściowego prostokątnego o częstotliwości 2048Hz oraz generator sygnału zegarowego dla przerzutnika o częstotliwości 20...

    Początkujący Elektronicy   31 Sty 2010 04:51 Odpowiedzi: 1    Wyświetleń: 1502
  • Generator testowy CB projekt

    Pomysł mam taki zrobić generator z tym kwarcem i przeciągnąć go o te 5 khz w dół Pamiętaj, że jest to kwarc "overtonowy" (wzbudzany na 3-ciej harmonicznej) i nie przestroisz go o -5kHz . Co najwyżej +/- 1kHz . Znacznie lepszym rozwiązaniem jest wzbudzenie rezonatora kwarcowego na częstotliwości około 9MHz (kwarce dostępne w dużych ilościach - po nadajnikach...

    CB Radio   14 Lis 2010 00:12 Odpowiedzi: 14    Wyświetleń: 5344
  • [Atmega8][Bascom] Sterownik terrarium, poprawnosc układu

    Witam Ze względu na to, że od niedawna posiadam terrarium zamieszkane przez ptasznika biało-kolanowego, postanowiłem zrobić sterownik terrarium kontrolujący temperaturę, wilgotność, oświetlenie i wentylacje. Jestem początkującym elektronikiem i jest to mój drugi układ na mikro kontrolerze w związku z tym mam kilka pytań odnośnie poprawności zaprojektowanego...

    Mikrokontrolery AVR   17 Paź 2012 15:36 Odpowiedzi: 9    Wyświetleń: 5879
  • Układ wykonawczy SSTC - Jaki wybrać?

    Zbudowałem układ wykonawczy wg. poniższych schematów. Docelowo układ miał być zasilany 3 fazami 220VAC. Na początek opiszę ogólnie jego budowę. Napięcie jest filtrowane kondensatorami elektrolitycznymi 470uF 400V i kondensatorami MKT 470n 400V. W ten sposób powstaje zasilanie symetryczne dające względem masy napięcie max +-Uzas*1,41V o małych tętnieniach...

    Projektowanie Układów   22 Mar 2011 15:55 Odpowiedzi: 2    Wyświetleń: 3240
  • Generator sygnałowy - na procesorze

    To ma być tylko projekt. Nie mam tego robić tego na fizycznym układzie. Układ nie musi być oparty tylko o mikroprocesor bo przetwornik C/A musi być. Jeżeli chodzi o mikroprocesor to może na ATMEGA8 dało by się to zrobić ?

    Nauka Elektroniki, Teoria i Laborki   26 Kwi 2012 16:14 Odpowiedzi: 3    Wyświetleń: 1246
  • Generator FM UKF - czy to ma w ogóle szansę zadziałać?

    Chcę sobie zrobić układ do generowania sygnału FM w paśmie UKF, żeby móc podłączyć go na wejście antenowe odbiornika (celem jego wyregulowania). Wpadłem na pomysł żeby wykorzystać generator piratów i tłumik. Niestety z powodu braku dostępu do niektórych elementów - przerobiłem nieco schemat. Zastanawiam się teraz, czy to ma w ogóle szanse zadziałać,...

    Warsztat elektronika   18 Maj 2012 08:33 Odpowiedzi: 2    Wyświetleń: 4382
  • Altium Designer: Generator Hartleya

    Witam, chciałby was prosić o pomoc z przerysowaniem układu. To jest ten układ: Problem polega na tym że jestem zielony z programowania płytek. Zrobiłem już część układu ale nie mogę ogarnąć tego: Czy mógłby ktoś powiedzieć jakie elementy zastosować z bibliotek altiuma. Z góry dzięki za pomoc

    Pomoc w PCB   05 Cze 2012 13:44 Odpowiedzi: 1    Wyświetleń: 1988
  • Grzeje się BU 2525 w generatorze wysokiego napięcia po podłaczeniu cewki

    Dziękuje. Zrobić raz jeszcze układ jak z linku czy zastąpić tylko tranzystor w moim układzie. Co do cewki czy samochodowa którą zastosowałem może być, i jak sprawdzić czy jest dobra

    Początkujący Elektronicy   14 Paź 2013 05:55 Odpowiedzi: 3    Wyświetleń: 1338
  • Jak zrobić prosty nadajnik na 1030MHz

    W prostych układach generatorów przy tej częstotliwość będzie problem z utrzymaniem stabilnej częstotliwości, można skomplikować i zrobić jak wyżej przerabiając modulator syntezę itp. Ale można też bardzo prosto i w miarę skutecznie. Jeśli rodzajem modulacji odbiornika transpondera jest ASK można zastosować generator stabilizowany rezonatorem SAW np....

    Radiotechnika Początkujący   28 Sty 2014 21:49 Odpowiedzi: 32    Wyświetleń: 7563
  • Generator marxa nie chce dziaać

    Za prostownik robi jedna dioda 6A10 MIC, tzn. jeśli jest w układzie to nie dzieje się w ogóle nic (generator nie działa), a przebicia o których pisałem są tylko wtedy kiedy tę diodę wyjmę z układu. Dioda przed + HV. Mam jeszcze jedną taką diodę (wysokiego napięcia) czy da się zrobić z nich jakiś użytek? Ew mam od ręki (żeby nie jeździć po sklepach)...

    Początkujący Elektronicy   30 Cze 2014 08:34 Odpowiedzi: 9    Wyświetleń: 1089
  • Jak zrobić układ pełniący funkcję kontroli silnika 220V?

    Witam! Prościutki układzik: - fototranzystor jako czujnik obrotów oświetlonego wału, na którym jest namalowany pasek czarny/biały, - układ scalony CD4093 (4 bramki NAND Schmitta) - buzzer, 2 (lub 3) tranzystory NPN i przekaźnik. Obracający się wał jest "widziany" przez fototranzystor, powodując powstanie na jego kolektorze impulsów. Te impulsy (oddzielone...

    Początkujący Elektronicy   10 Paź 2015 07:28 Odpowiedzi: 1    Wyświetleń: 699
  • Generator stałych impulsów

    Witam Wszystkich Chciałbym zrobić generator impulsów, który spełniałby poniższe założenia: - długość sygnału 4ms, - zadane (wejściowe) napięcie na generator 12V, - możliwość zmiany częstotliwości wyjścia sygnału od 3Hz do 25Hz - napięcie wyjścia sygnału 12V choć może się wahać w zakresie 10V-12V Taki krótki, zawsze identyczny sygnał na wyjściu przy...

    Początkujący Elektronicy   09 Sty 2016 11:19 Odpowiedzi: 13    Wyświetleń: 1119
  • Ściąganie napięcia bazy w układzie klucza.

    Driverem jest atmel. W celach testowych sterowałem układ generatorem funkcyjnym (z regulacją napięcia, wypełnienia itp). Efekt ten sam. Sprawdzałem inne tranzystory z małą pojemnością bramki, też nie udało mi się poprawić pracy tego układu. Macie jakieś propozycje co można zrobić w takiej sytuacji?

    Projektowanie Układów   10 Maj 2016 14:03 Odpowiedzi: 5    Wyświetleń: 783
  • Obniżenie częstotliwości badanego układu w celu odczytania na oscyloskopie.

    Witam wszystkich Słyszałem o czymś takim że da się obniżyć częstotliwość badanego układu za pomocą generatora w celu odczytania poprawnosci wskazań układu na oscyloskopie nie osiągającym tak dużych częstotliwości. Czy ktoś jest w stanie przybliżyć ten temat jak to zrobić. Przykładowo potrzebujemy zbadać poprawność układu 2.4GHz a oscyloskop ma maksymalnie...

    Początkujący Elektronicy   29 Kwi 2017 10:34 Odpowiedzi: 2    Wyświetleń: 417
  • Generator elektrostatyczny??

    Po wymianie spalonych IRFP250 (200V,0,085 Ohm) zaczęło działać. Wymieniłem na IRFP460 500V, Rds=0,27 Ohm. Wyrzuciłem oryginalne uzwojenie pierwotne i nawinąłem 5+5. Bawiłem się łukiem zwiększając napięcie. Był krótki łuk ok.1cm. Nie wiem od czego się spaliły oba IFR460, czy od napięcia zasilania czy może przypadkowego zwarcia łuku. Tranzystory się nie...

    Początkujący Elektronicy   25 Mar 2018 00:52 Odpowiedzi: 36    Wyświetleń: 2859
  • Jak testować transformator impulsowy oscyloskopem i generatorem?

    Nazwę podbijak słyszę pierwszy raz kojarzy mi się z podbijarką torową, Chyba dobrze kombinowałem - jest i podbijak Wygląda mi na to, że podbijakiem nazwałeś to, co wszyscy znamy jako korektor współczynnika mocy - PFC. PFC najczęściej pracuje jako konwerter boost ponieważ musi pobierać prąd wejściowy w szerokim zakresie wartości chwilowych napięcia wejściowego,...

    Początkujący Elektronicy   30 Sie 2020 19:42 Odpowiedzi: 6    Wyświetleń: 684
  • Nadajnik RF (do 4GHz) do badania odporności na zakłócenia układów RF

    Witam, buduję pewien układ, w którym występują moduły RF. I chciałbym w tani sposób sprawdzić, jak zachowuje się on w otoczeniu różnych częstotliwości RF o różnym natężeniu oraz czy nie zakłócają one jego pracy. Potrzebne mi więc było źródło fal elektromagnetycznych RF. Postanowiłem więc wyposażyć się w generator RF na układzie ADF4351 o f od 35MHz...

    Projektowanie Układów   03 Cze 2021 08:41 Odpowiedzi: 2    Wyświetleń: 318
  • Tworzenie układu zabezpieczającego przed odwróconym połączeniem L i N - Stycznik i Relpol M73

    Zasadniczo, odbiorniki powinny być zrobione tak, by zamiana L z N nie przeszkadzała. A PE musi być odrębny. Układ z linku w #5 ma np. akumulator podłączony do N - jeśli już tak się robi, to akumulator (i jego podłączenia) musi być w izolującej obudowie, żeby do tego "N" nie było dostępu, na wypadek, jakby tam jednak było "L". Nie wiem, czy ktoś jeszcze...

    Początkujący Elektronicy   14 Wrz 2021 21:26 Odpowiedzi: 59    Wyświetleń: 2304
  • Realizacja układu SQ1GU z generatorem Si5351A - pytania i wątpliwości

    Witam wszystkim. Wykonuje układ kolegi SQ1GU. . Wychodzi mi coś takiego. Nie mam pojęcia co zrobić. Pozdrawiam. 1104607

    Początkujący Elektronicy   17 Wrz 2021 15:19 Odpowiedzi: 4    Wyświetleń: 276
  • Philips 70FC444 - generator prądu podkładu źle działa

    Ja kiedyś wstawiłem w radiomagnetofonie głowicę kasującą o impedancji mniejszej o ok. 2x. Fabryczna miała 3,3 ohm a zamontowałem 1,2 ohma. Poza tym, że się robiła trochę ciepła podczas kasowania to kasowała prawidłowo, nie było żadnych pisków czy szumów. Wystarczyło obniżyć trochę prąd kasowania w celu mniejszego nagrzewania się głowicy. Teraz bym to...

    Audio Początkujący   08 Lis 2021 08:58 Odpowiedzi: 12    Wyświetleń: 597
  • Dobór transformatora do układu do pomiaru czasu włączania tyrystora

    Taki transformator też mógłby być do badania tego tyrystora przy wyzwalaniu impulsowym. Sugerowałem się parametrami rezystora obciążenia R. Biorąc pod uwagę, że Il to 50 mA, to nie będzie problemów z jego wyzwoleniem przy takim obciążeniu i napięciu zasilania. Tak, kondensator C faktycznie ładuje się przez diodę D i rozładowuje poprzez R i tyrystor...

    Początkujący Elektronicy   11 Sty 2022 18:12 Odpowiedzi: 6    Wyświetleń: 282
  • Prośba o ocenę prostego układu zasilania małej diody LED z 230 V~

    Kondensator to nie rezystor który się grzeje, popatrz na tanie chińskie żarówki LED, tam też jest szeregowo kondensator, tu działa przesunięcie fazowe, i jak w szkole uczyli najpierw płynie prąd, potem napięcie, a nie jednocześnie jak w grzejących rezystorach. Kondensator jest dielektrykiem przez jego nie płynie prąd , tylko przez pozostałe elementy...

    Początkujący Elektronicy   03 Wrz 2023 08:45 Odpowiedzi: 51    Wyświetleń: 1290
  • Generator szumu fal morza. Poszukiwania schematu.

    Szwagier zrobił kiedyś taki układzik , wzmacniane byly tam szumy wlasne tranzystora krzemowego malej mocy .Bardzo fajny efekt .Schemat do odzyskania ( podajrze z EP).

    Projektowanie Układów   15 Mar 2005 20:51 Odpowiedzi: 15    Wyświetleń: 4805
  • układy CPLD

    Fajnie , ale jaki jest sens budowania mikrokontrolera na CPLD, skoro firmy oferują naprawdę dobre procki za 20-30zł. Ile będzi kosztował taki PicoBlaze? Bawiłem się układami Altery MAX , da się na tym ,tak samo jak na Xsilinxach, może 10-20 sensownych projektów zrobić. Człowiek bardzo szybko przekonuje sie jak bardzo zasoby sprzętowe go ograniczają....

    Programowalne układy logiczne   04 Lip 2006 19:03 Odpowiedzi: 11    Wyświetleń: 3942
  • Tester Monitorów - Generator VGA

    Potrzebuje wymiary do tej płytki, bo chce sobie zrobić ten układzik, zna ktoś?

    DIY Warsztat   07 Paź 2016 09:43 Odpowiedzi: 32    Wyświetleń: 14299
  • legendarny ne555 - generator astabilny

    Witam Na samej kostce 555, takiego rozwiązania nie można zrobić. Aby układ spełniał funkcje, jak w twoim założeniu, potrzeba do wyjścia dołączyć, albo tranzystor w konfiguracji „WE”, albo inwertor (CD4049, CD40106). Mógłbyś w miejsce 555 zastosować CD4541 w konfiguracji przerzutnika monostabilnego. W tym układzie, poprzez odpowiednią konfigurację,...

    Projektowanie Układów   06 Wrz 2007 12:00 Odpowiedzi: 3    Wyświetleń: 2765
  • [Zlecę] zrobiony - nieaktualne

    Faktycznie prościutki układzik - generator przebiegu prostokątnego (Upp - 400V; 10A) - do kupienia w każdym kiosku :)

    Ogłoszenia Elektronika   23 Wrz 2010 09:57 Odpowiedzi: 1    Wyświetleń: 896
  • Schemat Układów pracy wzmacniacz operacyjnego na Układzie scalonym LM224

    Dzień Dobry ! Potrzebuję pomocy w kwestii schematu do układów pracy wzmacniacza operacyjnego. Układy mogą być różne jak państwu wygodniej. Myślałem aby to robić na układzie scalonym LM224, czyli 4 niezależnych wzmacniaczy. Jeszcze jakbym mógł prosić o parametry tych rezystorów itp. Mam zbadać te układy, czyli potrzebowałbym tam oscyloskop, generator,...

    Inne Szukam   09 Mar 2013 19:50 Odpowiedzi: 0    Wyświetleń: 858