asynchroniczny reset

Znaleziono około 216 wyników dla: asynchroniczny reset
  • Potrzebuję przerzutnik z resetowanym wejściem..

    Nie wiem w czym problem bo każdy (prawie) przerzutnik ma dodatkowe wejścia asynchroniczne set i reset. Można wykorzystać np. 4013, robiąc coś takiego: wyjście 'nie'Q podać na wejście D, przycisk do przełączania on/off na clock, no i wejście reset do przycisku resetu.

    Początkujący Elektronicy   05 Gru 2007 07:41 Odpowiedzi: 6    Wyświetleń: 1088
  • Licznik asynchroniczny modulo 6/11 - błąd w schemacie czy efekt hazardu?

    Witam, mam zaprojektować licznik asynchroniczny modulo 6/11 (wybór zakresu ustawia się dodatkowym wejściem). Wykorzystać mam asynchroniczne wejście RESET (0 jako aktywny stan wejścia RESET). Sporządziłem tabelę prawdy: gdzie A steruje trybem pracy 6/11. Następnie na jej podstawie stworzyłem siatkę Karnaugh dla funkcji RESET. Zbudowałem układ w symulatorze....

    Projektowanie Układów   13 Lis 2013 06:45 Odpowiedzi: 4    Wyświetleń: 3339
  • [Atmega644p][C] - programowy reset - nie watchdog

    Dobra nie będą Cię więcej męczył tylko powiem. To nie będzie reset. Podczas sprzętowego resetu asynchronicznie resetowanie wszystkie rejestry specjalne. Czyli piny są ustawiane jako Hi-Z, Timery są zatrzymywane, ADC/I2C/SPI/UART zatrzymywane, przerwania wyłączane itd. itp. Skok pod adres 0 nie zrobi tego. K***a. Nic nie zrobi. Żeby to zrobić trzeba...

    Mikrokontrolery AVR   14 Mar 2010 12:58 Odpowiedzi: 11    Wyświetleń: 1913
  • Pdczas resetu...!!

    Nawet jezeli AVR ma taki feature jak ustawianie stanu portu przy resecie, to niewiele to da, bo reset jest i tak asynchroniczny wzgledem wykonywanego programu. Jesli chcesz by stany wlaczenia przekaznikow przetrwaly reset , to powinienes uzyc zewnetrznego zatrzasku, ukladu szeregowo/rownoleglego, 8243, 8255, czy jeszcze czegos innego. Wtedy to co wpisales...

    Mikrokontrolery   10 Mar 2004 18:26 Odpowiedzi: 7    Wyświetleń: 1512
  • Asynchroniczny licznik mod 100

    mam małe pytanko czy ten licznik można resetować. oraz czy ktoś nie ma schemaciku licznika liczącego od 0 do 99 dwie cyfry z dekoderami na 7 segmentowy wuświetlacz LCD z mozliwościa resetowania tego licznika

    Początkujący Elektronicy   20 Lis 2007 18:29 Odpowiedzi: 23    Wyświetleń: 10137
  • Synchroniczny licznik mod 6, przerzutniki D - dodatkowe wejście RESET

    czyli po podłączeniu go cały układ dostaje '0' i taką też wyświetla wartość, po czym po "odłączeniu" wejścia reset, zaczyna liczyć od nowa, jednak dostałem informację (nie od profesora), że to nie o to chodzi i tu moje pierwsze pytanie: dlaczego i jak zrobić to poprawnie ? Trochę jakby nie do końca jasne są warunki zadania, jak dla mnie. Zdanie "Dodatkowe...

    Nauka Elektroniki, Teoria i Laborki   10 Sty 2018 21:01 Odpowiedzi: 2    Wyświetleń: 3099
  • Układy asynchroniczne przerzutnik r^s^

    Dzień Dobry. Wydaje mi się, że nie rozumiem treści zadania z układów asynchronicznych. Mam zbudować przerzutnik asynchroniczny typu r^s^ wyzwalany narastającymi zboczami wejść r (reset) i s (set). Czy chodzi tutaj o klasyczny przerzutnik RS/SR zbudowany z dwóch bramek nand?

    Projektowanie Układów   14 Cze 2018 13:25 Odpowiedzi: 1    Wyświetleń: 315
  • Licznik asynchroniczny na przerzutnikach D. Jak taki zrobić?

    Zbudować przerzutnik T (podłączyć wyjscie ~Q do wejścia D), 4 takie przerzutniki połączyć w łańcuch, wejście zegarowe następnego z wyjściem Q poprzedniego. Jeśli dobrze rozumiem to ma on zliczać do 9, czyli zrobić funkcje logiczną wykrywającą stan 1010 i podającą sygnał na reset. Można sobie to uprościć wykrywając tylko 1x1x ponieważ kombinacje bitów...

    Początkujący Elektronicy   16 Sty 2005 22:12 Odpowiedzi: 1    Wyświetleń: 1802
  • Układ generujący konkretny komunikat w standardzie asynchronicznym (UART)

    Dzień dobry To mój pierwszy post na forum, ale od zawsze korzystałem oczywiście ze zgromadzonej tu wiedzy, więc nie czuję się obco :) W każdym razie: Witam Jestem dość początkujący. Moje doświadczenie obejmuje wykonanie drukarki 3d na podstawie bardzo gotowych rozwiązań oczywiście, zabawy arduino, wykonanie na jego podstawie kilku prostych układów w...

    Początkujący Elektronicy   17 Lis 2015 22:24 Odpowiedzi: 1    Wyświetleń: 495
  • Licznik asynchroniczny modulo 36 liczący w kodzie BCD na przerzutnikach JK

    Witam, zrobiłem licznik asynchroniczny mod 36 na JK i do końca nie działa jak powinien. Otóż na początku liczy od 0 do 35 i się resetuje, ale tu zaczyna liczyć od 6 do 35 znowu się resetuje i po raz kolejny liczy od 6 do 35 i tak już w kółko. Poniżej schemat, czy mógłby ktoś poprawić, albo chociaż wytłumaczyć mój błąd?

    Początkujący Naprawy   16 Cze 2013 15:33 Odpowiedzi: 0    Wyświetleń: 2823
  • asynchroniczny licznik modulo 5

    Przy modulo 5 trudno mówic o kodzie 8421 (raczej o naturalnym kodzie binarnym). Rozwiązanie: łączysz trzy przerzutniki "w szereg" tzn. wyjście pierwszego przerzutnika na wejście zegarowe drugiego i analogicznie wyjście drugiego na zegar trzeciego przerzutnika (dla JK sygnały J i K na "1" dla D wejście D na wyjście /Q). Masz układ licznika 3-bitowgo....

    Początkujący Elektronicy   05 Sty 2005 01:00 Odpowiedzi: 2    Wyświetleń: 14656
  • Przerzutniki - D i JK. Budowa licznika asynchronicznego i rejestru.

    Witam! Jak stworzyć licznik mod 8 przy pomocy przerzutników JK oraz rejestr rejestr równoległo-szeregowy z przerzutników D to wiem ale odwrotnie to nie mam pojęcia. Przeczytaj jeszcze raz pytania czy dobrze je podałeś. Liczników mod x nie robi się przez dzielenie, tylko resetuje się je przy konkretnej liczbie. Licznik mod 8 liczy od 0 do 7 (3 bity)...

    Początkujący Elektronicy   30 Sty 2005 18:47 Odpowiedzi: 24    Wyświetleń: 8894
  • Licznik mod 4 asynchroniczny na JK i D

    PANOWIE !!! Licznik modulo 4 liczy: 0, 1, 2, 3, 0, 1, 2 , 3 itd. I wymaga tylko dwóch przerzutników !!! W obu przypadkach to są po dwa przerzutniki połączone szeregowo: Clock -> CK0 Q0 -> CK1 W przypadku przerzutników D - robimy sprzężenia: /Q0 -> D0 /Q1 -> D1 W przypadku JK podajemy jedynki na wejścia J i K W przypadku użycia układów TTL (LS, HC,HCT)...

    Początkujący Elektronicy   13 Cze 2005 23:22 Odpowiedzi: 7    Wyświetleń: 9389
  • asynchroniczny licznik programowalny, 8-bit, BIN

    Patrz temat: licznik 8 bitowy binarny!!! Odpadają więc liczniki dekadowe!!! Zatem: 256 możliwych liczb, co daje 8 "zworek" do ustawiania zadanej liczby, dwa układy 74193, jeśli ma zapalać diodę czy załączać cokolwiek na wyjściu przeniesienia lub pożyczki zatrzask (latch) np 7474, a jeśli licznik ma "kręcić się w kółko" ilość razy zadaną przez liczbę,...

    Początkujący Elektronicy   12 Lut 2007 21:42 Odpowiedzi: 20    Wyświetleń: 4040
  • Resetowanie zegara na licznikach 4017

    Witam, przecież masz błąd w układzie zerowania (asynchronicznego impulso-potencjałowego) na zamieszczonym przez Ciebie schemacie, co widać z daleka. Dioda z wyjścia pin-2 nieciągle utrzymuje potencjał "0 (jak na nim taki występuje) na wejściach zerujących pin-15 i wtedy licznik nie zlicza, ponieważ masz Pull-Up do plusa zasilania (utrzymywanie "1")...

    Początkujący Elektronicy   31 Lip 2007 14:36 Odpowiedzi: 5    Wyświetleń: 1797
  • Dzielnik częstotliwości asynchroniczny na przerzutnikach.

    Witaj , mogę Ci podpowiedzieć, poczytaj tutaj: możesz użyć tych kostek. wszystkie wejścia CLR kostek 7473 połącz ze sobą użyj układu 7410 do resetu 7473 po zliczeniu 14 impulsów zegarowych wykorzystując wyjścia Q z uładów 7473 i....... ....w końcu całego zadania nie mogę za Ciebie zrobić!

    Początkujący Elektronicy   25 Paź 2007 00:29 Odpowiedzi: 2    Wyświetleń: 1695
  • licznik asynchroniczny

    humm czyli skok powinien naprzykłąd być zrobiony na 14 przy ustawieniu wszystkiego na set ??? czy jak bo nie rozumiem?? Normalnie w liczniku rewersyjnym po stanie 0000 następuje stan 1111 (15) a Ty chcesz aby po stanie 0000 następował stan 1110 (14) czyli bo wykryciu stanu 0000 należy przerzutniki Q4 Q3 Q2 ustawić na reset a licznik Q1 na set.

    Początkujący Elektronicy   30 Mar 2008 20:40 Odpowiedzi: 4    Wyświetleń: 5675
  • zapytanie o licznik asynchroniczny modulo 10

    Aż nie mogłem nie zwrócić uwagi: Wejście R0(1) resetuje pierwszy przerzutnik JK a R0(2) resetuje pozostałe. Gdzie ty na tym schemacie widzisz, że R01 je podłączone do 1-szego przerzutnika JK, a R02 do drugiego? R0(1) i R0(2) są wyprowadzeniami bramki NAND, podanie na tych wejściach '1' powoduje reset całego licznika. Pozdrawiam

    Początkujący Elektronicy   21 Maj 2009 17:59 Odpowiedzi: 11    Wyświetleń: 7569
  • Licznik asynchroniczny modulo

    Witam, od kilku dni staram się rozgryźć na czym polega projektowanie liczników jednak bez wyraźnych efektów, dlatego postanowiłem poprosic o pomoc. Zadanie polega na zaprojektowaniu licznika asynchronicznego modulo 18 z dwoma przeskokami. Do tego należy sporzadzic wykresy czasowe i narysować przerzutniki. Sety, resety oraz zbocza reagują tak jak zaprojektujemy....

    Nauka Elektroniki, Teoria i Laborki   02 Cze 2009 12:15 Odpowiedzi: 4    Wyświetleń: 10647
  • Asynchroniczny licznik za pomocą tabeli przejść

    Ten układ nie ma prawa poprawnie działać. Powstają "hazardy" na skutek czasów propagacji a co najważniejsze po doliczeniu do 8 zatrzyma się i bez resetowania nie będzie dalej liczył. Poniżej schemat w którym są elementy opóźniające. Aby ich ilość zmniejszyć zastosowany jest układ szeregowy przeniesień. Robienie takich liczników mija się z celem. Albo...

    Początkujący Elektronicy   15 Lis 2009 05:35 Odpowiedzi: 8    Wyświetleń: 5210
  • Licznik asynchroniczny z możliwością przełączania

    Witam! Mam do zaprojektowania na pracownie licznik z możliwością przełączania. Dla sygnału sterującego S="0" układ ma działać jako licznik mod5, a dla S="1" jako mod7. Narysowałem tabele karno, ale nie potrafię narysować układu resetującego ten licznik. Z góry dziękuję za pomoc :-)

    Nauka Elektroniki, Teoria i Laborki   11 Mar 2010 08:57 Odpowiedzi: 3    Wyświetleń: 1960
  • Resetowanie komputera podczas uruchamiania gier.

    Ja bym jeszcze (przynajmniej na próbę) ustawił pamięć synchronicznie z szyną procesora. W tej chwili masz procesor na 133 MHz, a pamięć na 166, czyli jako DDR333. Athlony XP na socket A niezbyt lubią pracę asynchroniczną ! Ustaw w biosie pamięć jako DDR266, czyli na 133 MHz.

    Komputery Hardware   23 Sie 2010 18:16 Odpowiedzi: 30    Wyświetleń: 5882
  • [ATMega8][C]Błędne działanie programu po resecie uC

    Jutro zastosuje się do waszych poleceń i zobaczymy co z tego wyniknie. Jednak wydaje mi się że silnik asynchroniczny nie emituje dużych zakłóceń (chyba że jest zasilany z falownika) najbardziej "sieją" silniki komutatorowe z powodu iskrzenia między szczotkami a komutatorem. A napięcie przy włączaniu większych silników asynchronicznych jednak siada na...

    Mikrokontrolery AVR   01 Gru 2010 20:01 Odpowiedzi: 23    Wyświetleń: 2717
  • Licznik asynchroniczny modulo 7/12

    ylko po co Tobie to wszystko, skoro wiesz jak 'zrobić' jeden i drugi licznik z licznika asynchronicznego liczącego do 12, czyli w technice TTL na układzie średniej integracji typu 7492, pytam retorycznie ... Do 12 to w/w liczy 'z urzędu', a do 7 to sobie pomyśl w jaki sposób jego "skrócić" - to są przecież podstawy Podstaw Techniki Cyfrowej ... Bez...

    Początkujący Elektronicy   25 Paź 2011 23:28 Odpowiedzi: 9    Wyświetleń: 7588
  • Resetowanie układu przerzutników typu D

    (at)mickpr Rysuje schemat w programie z 1988 o nazwie Laboratorium Elektroniczne firmy IIT. (at)whyki A mógłbyś mi powiedzieć gdzie znajduje się to wejście R bo ja w przerzutniku widzę tylko D, CLK, Q i ~Q. Z tego co czytam to ten przerzutnik nie posiada asynchronicznych wejść i tutaj jest cały problem.

    Projektowanie Układów   29 Maj 2012 17:19 Odpowiedzi: 5    Wyświetleń: 2242
  • Licznik asynchroniczny z ograniczeniem.

    Jest układ 7485, który porównuje dwie liczby 4-bitowe bez znaku (0..15), ma: wejścia dla obu liczb, wyjścia sygnałów A=B, A<B, A>B, i wejścia do łączenia takich układów dla większej ilości bitów; dajesz mu np. stan licznika jako A, wartość maksymalną jako B, na wejścia do łączenia H na A=B, L na A<B i A>B, na wyjściu A>B dostaniesz sygnał,...

    Projektowanie Układów   25 Lis 2014 09:56 Odpowiedzi: 12    Wyświetleń: 4106
  • VHDL/uBlaze - Prosty sumator asynchroniczny, detekcja sygnałów

    VHDL to nie C++ - proponuje podejść do problemu bardziej elektronicznie, tak jak przy projektowaniu układów cyfrowych. Zaprezentowałeś kod który w ogole nie używa zegara(!!) - takie coś aż prosi się o błędy. Układy kombinacyjne są ok, ale bez zegara będzie ci to latać jak pepek z gumy, co wejdzie to wyjdzie, każda szpilka itd. Nie będziesz w stanie...

    Programowalne układy logiczne   28 Cze 2013 18:16 Odpowiedzi: 2    Wyświetleń: 2106
  • Licznik asynchroniczny modulo 7 metodą podziału liczby

    Witam. Założyłem temat, ponieważ nigdzie w internecie nie mogłem znaleźć odpowiedzi na to pytanie. Czy jest możliwe złożenie licznika asynchronicznego na przerzutnikach JK mod 7 opartego na metodzie podziału liczby tak aby liczył w górę albo w dół w kodzie dwójkowym? Bez używania resetu oraz bez dodatkowych bramek logicznych. Zależy mi na zdaniu ludzi...

    Początkujący Naprawy   05 Wrz 2013 11:38 Odpowiedzi: 0    Wyświetleń: 1482
  • Attiny85 - Napięcie na Resecie

    Co znaczy "napięcie na Resecie"? To określenie mocno nieprecyzyjne. Zasadniczo, w układzie elektrycznym/elektronicznym zawsze i wszędzie występuje jakieś napięcie, czyli różnica potencjałów między dowolnymi dwoma punktami układu. No chyba, że układ nie ma żadnego źródła zasilania, to różnica ta wynosi 0 (co potocznie bywa określane jako brak napięcia)....

    Mikrokontrolery AVR   17 Kwi 2015 13:51 Odpowiedzi: 1    Wyświetleń: 594
  • Licznik asynchroniczny liczący w przód od 5 do 15 (przerzutniki JK)

    Mam problem z następującym zadaniem: Zaprojektuj licznik szeregowy liczący do przodu od 5 do 15. (JK) Narysowałem następujący schemat, który teoretycznie powinien liczyć od 5 do 15. Niemniej jednak, gdy licznik się już ustawi, to sekwencja liczenia wygląda następująco: 5-6-7-5-6-7 (5-6-7). Przy przejściu z 7 na 8 licznik resetuje się do początkowej...

    Początkujący Elektronicy   04 Maj 2015 20:37 Odpowiedzi: 1    Wyświetleń: 3651
  • Liczniki asynchroniczne /synchroniczne

    Musisz popatrzeć, co robi bramka przy licznikach. Jej zadaniem jest zebrać sygnały z odpowiednich wyjść i wygenerować reset w odpowiednim momencie, tzw skrócenie cyklu liczenia.

    Początkujący Elektronicy   16 Cze 2015 20:14 Odpowiedzi: 5    Wyświetleń: 849
  • Licznik modulo n asynchroniczny

    Jak zajrzysz do danych układu 7490 to przekonasz się, że ma on dwa wejścia resetujące. Podłączasz je do wyjść o wagach 1 i 4. W efekcie po doliczeniu do 5 licznik ustawi się na 0. Licznik trzeba skonfigurować do pracy w kodzie BCD.

    Początkujący Elektronicy   05 Cze 2018 10:16 Odpowiedzi: 8    Wyświetleń: 1230
  • Jak zbudować licznik asynchroniczny działający w kodzie Aikena?

    Można tak, że układ w stanie 0-1-0-1 (5 z normalnego BCD) poda Set na pierwszy i trzeci bit, oraz Reset na drugi, powodując przeskok do 1-0-1-1 (5 Aikena). A można tak, że poza normalnym połączeniem jak w liczniku binarnym do 16 (4-bitowym) w stanie 4 poda się sygnał zegarowy na 2-gi i 3-ci przerzutnik. Przerzutniki stosowane w licznikach powinny zmieniać...

    Początkujący Elektronicy   16 Wrz 2019 11:24 Odpowiedzi: 13    Wyświetleń: 555
  • Dwa liczniki asynchroniczne szeregowo, modulo 99

    Zadanie jest wybitnie akademickie. Wyraźnie widać, że jakiegoś z moich kolegów poniosła ułańska fantazja... Oczywiście to samo można zrobić 10 razy prościej, tylko po co... Najpierw prawidłowo połączyłbym te liczniki. Porównaj sobie młodszą cześć 7490 i młodszą 7493. Przy takim połączeniu pokrętnie wyjdzie to samo, co jeden 7493. Problem w tym, że taki...

    Nauka Elektroniki, Teoria i Laborki   10 Maj 2020 23:20 Odpowiedzi: 7    Wyświetleń: 540
  • Licznik asynchroniczny modulo 4 zliczający w dół, przerzutniki D

    Skonsultowałem to z prowadzącym, po dokładniejszym przejrzeniu mojego zadania stwierdził, że jednak rzeczywiście nie potrzebuję nic podłączać do RESET-u. Także temat można zamknąć, ale i tak dzięki.

    Początkujący Elektronicy   14 Lut 2022 14:25 Odpowiedzi: 2    Wyświetleń: 540
  • Jak wykonać reset synchroniczny licznika Mod 13?

    Witajcie. Stworzyłem licznik synchroniczny modulo 16 w oparciu o przerzutniki D. Teraz moje zadanie polega na przerobieniu go na licznik mod 13 za pomocą zerowania synchronicznego . Jak zrobić za pomocą zerowania asynchronicznego to wiem. Ale w jaki sposób mam to wykonać zerowaniem synchronicznym? Rozumiem, że mam wykryć stan N-1 ale nie wiem z czym...

    Nauka Elektroniki, Teoria i Laborki   11 Lut 2019 10:52 Odpowiedzi: 3    Wyświetleń: 735
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    Przebiegi są rejestrowane w fizycznym układzie z wykorzystaniem bloków ILA, to nie jest symulacja. Rzeczywiście, w artykule wspomniano o układach Xilinxa i środowisku ISE – u mnie taki sposób nie działał, może zależy to od wersji ISE, może od czegoś innego, nie mam pojęcia. W każdym razie bardzo ciekawe. Co do konfiguracji symulacji, to też nie...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1761
  • Multisim - Licznik asynchroniczny - złe 2 pierwsze liczby, resetowanie.

    Witam! Zbudowałem sobie z przerzutnika wyzwalanego zboczem narastający przerzutnik wyzwalany zboczem opadającym, oto jego schemat: Następnie z tych przerzutników zbudowałem licznik asynchroniczny liczący od 0 do 15, schemat: Zamieniłem go w taki symbol: Gdy przeprowadzę symulację to zapalają mi się diody: x1,x2,x3 następnie x0,x1,x2,x3 a potem licznik...

    Projektowanie Układów   05 Sty 2015 18:04 Odpowiedzi: 0    Wyświetleń: 2031
  • [FPGA] Globalny reset asynchroniczny

    Czesc, Mala dygresja do 'dobrego tonu'. Jestes pewien, ze wykladowca naciskal na uzywanie asynchronicznego resetu w FPGA? Moze odnosil sie do konkretnej rodziny ukladow jakiegos producenta? Reset w projekcie to zagadnienie globalne i nie ma jednoznacznej odpowiedzi na pytanie ktory uzyc. W wiekszosci przypadkow ktory zostanie uzyty jest wypadkowa co...

    Programowalne układy logiczne   26 Lis 2009 01:06 Odpowiedzi: 5    Wyświetleń: 2951
  • Licznik mod50 na 40192 - reset asynchroniczny

    Zaskoczeń ciąg dalszy. Bramka na wejściach resetujących daje ciągle 3.5 sama z siebie, mimo że wcześniej ją testowałem i działała ok. Tym dziwniejsze że dla poprzedniej sekcji pomogła wymiana liczników. Oczywiście po raz n-ty sprawdziłem luty i połączenia, wszystko wydaje się być w porządku. wszystko mam z serii 4000 i 4500, zasilane z 5V. Odnośnie...

    Początkujący Naprawy   23 Maj 2011 18:59 Odpowiedzi: 15    Wyświetleń: 3476
  • problem z maszyna stanow w vhdl

    Czesc, Reset na liscie czulosci powinien byc ale tylko jak masz asynchroniczny reset. Jesli jest synchroniczny to nie powinien tam byc bo proces bedzie schedulowany na zmiane na oba sygnaly wiec bedzie sie wzbudzal w symulatorze za kazdym razem jak cos sie bedzie dzialo z resetem. Jak masz synchroniczny reset to zegar jest wystarczajacy. Zrobi sie co...

    Programowalne układy logiczne   26 Sie 2006 06:26 Odpowiedzi: 7    Wyświetleń: 3069
  • Licznik modulo 73 na układach 7490 i 7493

    W jakim kodzie ma liczyć ten licznik? BCD czy binarnym? Bo jeżeli w BCD to z licznika 7490 najlepiej użyć 4 bitów, a on sam się wyzeruje po przekroczeniu stanu "9". Dzięki temu mamy już licznik cyfr jedności od "0" do "9". Wyjście QD tego licznika podłączamy do wejścia CLK licznika 7493, z którego używamy tylko 3 najmłodsze bity. Będzie to nasz licznik...

    Początkujący Elektronicy   20 Paź 2017 08:34 Odpowiedzi: 14    Wyświetleń: 2139
  • Sterownik przekaźników kompatybilny z Home Assistant/Tasmota HTTP + obudowa

    Witajcie moi drodzy Przedstawię tutaj mój sieciowy sterownik przekaźników kompatybilny z Home Assistant poprzez Tasmota HTTP. Sterownik oparty jest o PIC18F67J60 i dodatkowo oferuje m. in. odczyt temperatury, budzik, przyciski, niezależny panel WWW oraz szerokie możliwości konfiguracji. Wstęp Projekt powstał by lepiej zapoznać się z działaniem IoT...

    DIY Smart Home   28 Mar 2021 16:32 Odpowiedzi: 7    Wyświetleń: 5568
  • przekazywanie wartości między modulami z VERILOG

    Jeśli to ma być struktura syntezowalna to nie może być tam polecenia initial. Ogólnie wartości początkowe możesz ustawiać asynchronicznym resetem. Proces wówczas powinien tak wyglądać: always (at)(posedge clk or negedge rst) //reakcja na narastające zbocze begin //zegara lub niski stan rst if (~rst) licznik = 16'b0; else begin licznik...

    Programowalne układy logiczne   04 Cze 2007 14:35 Odpowiedzi: 8    Wyświetleń: 2660
  • Licznik synchroniczny typu D

    Dobra, w takim układzie jak Twój stan wyjść w chwili t trafia poprzez układ kombinacyjny na wejścia w chwili t+1, czyli to co jest na wyjsciach determinuje co ma byc na wejściach. Q2-Q1-Q0 - D2-D1-D0 1-0-0 na wejscie ma trafic: 0-0-0 0-0-0 na wejscie ma trafic: 0-1-0 0-1-0 na wejscie ma trafic: 0-1-1 0-1-1 na wejscie ma trafic: 0-0-1 0-0-1 na wejscie...

    Początkujący Elektronicy   25 Lis 2015 12:29 Odpowiedzi: 9    Wyświetleń: 2565
  • generator na przerzutniku D

    Przeprowadzony eksperyment pozwolil na zbudowanie generatora na ww. przerzutniku po skorzystaniu z wejsc asynchronicznych set reset. połączenia: kondensatory między S i -zasilania oraz i R i -; oporniki równolegle z diodami skierowanymi do wyjść między Q i R oraz -Q i S warunkiem "zaskoczenia" generatora jest asymetria stałych czasowych dwu obwodow...

    Inne Serwis   01 Wrz 2003 08:42 Odpowiedzi: 2    Wyświetleń: 1377
  • Verilog - transkoder BIN -> BCD

    UNIKAJ X , jak w symulacji pojawi się gdziekolwiek, to opis układu jest d* warty.

    Programowalne układy logiczne   08 Maj 2011 10:51 Odpowiedzi: 16    Wyświetleń: 3643
  • GAL16v8 inicjowanie rejestrow podczas wlaczania zasilania.

    Nie bardzo rozumiem o jakie rejestry Ci chodzi. Układy GAL, to nie FPGA, one są zaprogramowane "na sztywno" i nic się do nich nie wczytuje po włączeniu zasilania. Jeśli chodzi Ci o zainicjowanie przerzutników, to jak w każdym układzie sekwencyjnym, po właczeniu zasilania trzeba wykonać asynchroniczny reset. Zwykle w celu jego wymuszenia po właczniu...

    Programowalne układy logiczne   21 Lip 2023 12:44 Odpowiedzi: 6    Wyświetleń: 222
  • Uzywanie zmiennych i malejaca czestotliwosc maksymalna

    zarowno vhdl jak i ise to nie moja bajka, ale moze przydadza ci sie takie ogolne uwagi: hmmm... spotykalem sie z opiniami aby unikac asynchronicznych sygnalow, ale reseta raczej pozwalano mi zostawic taki globalny reset to jakis 'guzik', ktory ma ustawic cala logike w dobrze zdefiniowany stan poczatkowy; naciskasz reset, F-F sa zerowane, zwalniasz reset;...

    Programowalne układy logiczne   26 Paź 2007 18:39 Odpowiedzi: 30    Wyświetleń: 3288
  • FPGA - Spartan wykryte zatrzaski

    Jeśli używasz pamięci wewnętrznej FPGA to nie ma sensu używać stanu wysokiej impedancji. Zamiast 'bz możesz spokojnie przypisać 'b0. Poza tym nie musisz stosować multipleksera do sygnałów 'wdata' i 'adr'. Linie 'wpiszdane' oraz 'wpiszadres' mogą być podłączone do obu pamięci. Wybór bufora do zapiu będzie dokonywany przez wystawienie sygnału 'we0' lub...

    Programowalne układy logiczne   20 Lis 2015 08:08 Odpowiedzi: 7    Wyświetleń: 2334
  • [VHDL] przerzutnik typu D

    D-FF z asynchronicznym zerowaniem, wyzwalany opadajacym zboczem CLK: process (CLK, KASUJ) begin if KASUJ='1' then --asynchroniczny RESET aktywny na '1' Q <= '0'; elsif falling_edge(CLK) then --CLK opadajace zbocze Q <= DIN; end if; end process; Synchronicznie ustawiany D-FF , clk - rosnace zbocze: process (CLK) begin if...

    Początkujący Elektronicy   24 Wrz 2004 13:15 Odpowiedzi: 2    Wyświetleń: 8696
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    Witam ponownie. Cos duzo tych zadan z cyfrowki dostales na Swieta :-). Licznik zliczajacy w naturalnym kodzie dwojkowym modulo 13 (zakladam, ze liczy w gore) robi sie bardzo prosto. "Modulo N" oznacza ilosc stanow licznika. Ma byc 13? Tzn najprosciej gdy zlicza od zera QDQCQBQA=0000 az do QDQCQBQA=1100 - w sumie jest wiec 13 stanow. Gdy pojawi sie stan...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 11885
  • problem z układem zliczjacym

    Połacz szeregow 4 przerzutniki JK. Kazdy przerzutnik w tzw. "dwojke liczaca. Na pierwsze wejscie CLK przerzutnika daj zegar, kazdy nastepny przerzutnik pobiera sygnal na CLK z poprzedniego wyjcia Q albo /Q przerzutnika. W zaleznosci od tego na jakie zbocze sa aktywne wejscia CLK mozesz liczyc w gore albo w dol. Wejscia JK polacz do tzw jedynki logicznej,najczesciej...

    Początkujący Elektronicy   23 Mar 2007 20:05 Odpowiedzi: 14    Wyświetleń: 3248
  • licznik kasowany zboczem A a zwiekszany zboczem B

    Problem leży w tym że w Spartanach nie istnieją żadne zasoby (rejestry na których mozna zrobić licznik) które mają wiecej niż jedno wejście reagujące na zbocze. Możliwe rozwiązania: (założyłem że chodziło tobie o zwiększanie licznika sygnałem RAM_CLK a zerowanie sygnałem RAM_WR, bo Twój przykład jest jakby trochę z błędem, brak uzycia sygnału RAM_CLK)...

    Programowalne układy logiczne   12 Sie 2007 09:51 Odpowiedzi: 5    Wyświetleń: 1468
  • VHDL, Jak wyprowadzić dane wraz z sygnałem? CRC

    Co prawda dopiero rozpoczynam swoja przygode z vhdl'em, ale postaram sie Ci pomoc. Patrzac na Twoj kod sadze, ze troche zle sie do tego zabrales. Nie jestem pewien jak zachowa sie ten process po syntezie, gdyz nigdy sie z taka konstrukcja nie spotkalem. Podejrzewam, ze wynikiem tego kodu moze byc proces realizujacy tylko przypisania z pierwszej wersji...

    Programowalne układy logiczne   24 Maj 2008 21:51 Odpowiedzi: 5    Wyświetleń: 1640
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Olaboga ale syf mialem teraz zauwazylem. faktycznie, ale druga wersja nie jest lepsza. nie wiadomo od czego zaczac... taki 'syf' - uzywajac twojej terminologii; 1.zapis [syntax=verilog] always (at)(posedge clk or negedge reset)[/syntax] oznacza, ze jeden z sygnalow jest zegarem dla synchronicznych przerzutnikow, drugi asynchronicznym zerowaniem/ustawianiem...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2424
  • Dlaczego VHDL (EPM240T100) jest lepsze od rysowania schematów?

    To układ potrzebuje aż 32 elementów Czy ktoś wie z czego może wynikać aż tak duża różnica? pewnie w logu syntezy jest informacja co zostało wyoptymalizowane i dlaczego; w pierwszym przypadku CD4017_wyjscie <= (others => '0') rejestr CD4017_wyjscie jest zawsze "0000" wiec kompilator go usunal i cala logikę, która od niego zależy, w drugim rejestr...

    Programowalne układy logiczne   18 Paź 2020 20:06 Odpowiedzi: 19    Wyświetleń: 1161
  • Zawily problem z zamkiem szyfrowym, PIR i drzwiami

    Wydaje mi się że rozwiązanie powinno wyglądać tak, jednak wolałbym żeby ktoś jeszcze potwierdził że nie mam tam jakieś pomyłki. Przyjąłem dodatkowe założenie: -jeśli nastąpiło uaktywnienie PIR, za chwile pojawił się sygnał od drzwi i jednocześnie sygnał od PIR zniknął to alarm się nie włączy. Cały układ należy taktować sygnałem zegarowym, myśle że kilkanaści...

    Zabezpieczenia Stacjonarne   28 Sie 2004 20:04 Odpowiedzi: 33    Wyświetleń: 9312
  • prosty i tani układ reagjący na zbocze

    Przerzutnik "D" wyzwalany narastającym zboczem zegara (wejście 1). Wejscie 2 podane na asynchroniczny reset (aktywny niski poziom)

    Projektowanie Układów   11 Gru 2004 23:39 Odpowiedzi: 3    Wyświetleń: 1494
  • fpga spartan3 xilinx problem

    modul komunikacji z lpt jest zrealizowany na prostej maszynie stanów, która odpowiada za handshake EPP, co pewien czas zdarza sie ze maszyna sie 'blokuje' - przestaje reagowac na zmiany sygnalow wejsciowych ;), oprócz tego jedno wejscie jest sygnalem asynchronicznego resetu - po podaniu resetu maszyna rusza i dziala dalej.

    Mikrokontrolery   24 Lis 2005 11:21 Odpowiedzi: 9    Wyświetleń: 1910
  • Jestem nowicjuszem - Kilka pytań o układy programowalne

    Można w kodzie VHDL łączyć takie procesy, jak opisany wyżej oraz zwykłe funktory logiczne, przykładowo kod : y <= a and b; Opisuje bramkę AND z wejściami a i b i wyjściem y. Proces, który zawiera, jak powiedziałeś, zmienne. Jednakże są one zadeklarowane w postaci signal i są typu std_logic_vector, czyli całość zostanie przez syntezer zinterpretowana...

    Programowalne układy logiczne   19 Mar 2007 21:53 Odpowiedzi: 19    Wyświetleń: 6687
  • projekt na Cyclonie (VHDL)

    nie podoba mi się: dzielnik_001Hz : process (CLK_50MHZ) begin if rising_edge(CLK_50MHZ) then counter <= counter + 1; if (counter = "111101000010010000") then CLK_001HZ <= not CLK_001HZ; counter <= "000000000000000000"; end if; end if; end process; nie sprawdzałem tego, po dokładnej analizie może...

    Programowalne układy logiczne   29 Sty 2008 02:17 Odpowiedzi: 9    Wyświetleń: 2772
  • [mega8][asm] uart - jedynka na najstarszym bicie danych ?

    wyczyściłem trochę kod, żeby nikogo nie mylił i załączam zrzuty ekranu debuggera (w momencie wejścia w miejscu "usartt"). Wg mnie jest niby ok. .include "m8def.inc" .def temp= r16 .EQU SYSCLK = 1000000; częstotliwość pracy ; CKSEL(3..0) = 0001 (domyślnie dla wewn. oscyl.) ; uwaga: ptaszek w ponyprog oznacza 0 ; 0010 - 2MHz,...

    Mikrokontrolery AVR   29 Sie 2008 09:29 Odpowiedzi: 15    Wyświetleń: 1626
  • [Atmega162][ASM,c] Niepoprawna transmisja przez RS-232

    Witajcie. Złożyłem układ według tego schematu (tutaj inny procesor i kwarc): Zaprogramowałem Atmegę: [syntax=asm].nolist .include "m162def.inc" .list .listmac ;***************************************... .def Ilosc_l = r17 .def Ilosc_h = r18 .def Ilosc_d = r19 ;***************************************... .cseg .org 0x0000 rjmp Reset ;***************************************...

    Mikrokontrolery AVR   03 Sie 2011 00:10 Odpowiedzi: 1    Wyświetleń: 1370
  • CD4017 schemat, a sterowanie minusem - jak wykonać?

    74HC74 możesz zamienić na 4013. Różnica polega na tym, że 74HC74 ma zanegowane wejścia asynchroniczne (SET/RESET). Zamień C2 z R4. 74HC14 to przerzutnik Shmitt'a i w tym układzie musi zostać. Spróbuje coś wyskrobać na 4538.

    Początkujący Naprawy   13 Wrz 2011 19:18 Odpowiedzi: 20    Wyświetleń: 13046
  • RTC i tryb Power Down w Atmega8 [Bascom]

    Wprowadzić uC w tryb POWER_DOWN możesz zawsze, tylko jakie będą tego efekty, jak mówi datasheet: -zatrzymywany jest oscylator zewnętrzny - z trybu tego uC może zostać wybudzony poprzez zewnętrzny reset, reset od watchdoga oraz reset od układu Brown out detector,zewnętrzne przerwanie od INT0/INT1 - Ten tryb uśpienia w zasadzie zatrzymuje wszystkich generowanych...

    Mikrokontrolery Początkujący   15 Wrz 2012 09:57 Odpowiedzi: 29    Wyświetleń: 4398
  • Układ odczytujący i pamiętający poziomy logiczne.

    W takim razie użyj przerzutników z wejściami asynchronicznymi (Set i Reset). Niestety ja znalazłem tylko takie układy scalone, w których znajdują się max 2 przerzutniki, co zmusza do użycia conajmniej 4 układów w twoim przypadku. 74109

    Projektowanie Układów   21 Lis 2012 19:26 Odpowiedzi: 4    Wyświetleń: 1311
  • verilog - SPI z automatycznym zatrzaskiem

    jesli sc na N cykli, potem je zamyka i odczytuje wartosc na swoim wejsciu data Hmm, wydawalo mi sie, ze zastosowalem tylko sugestie dot. zbocza opadajacego. Czy móglbys prosze wyjasnic mi te róznice? wersja 1: always (at)( posedge sck) /.../ if(bitcount== N-1 ) data = tmp_data; wersja 2: always (at)( negedge sck) /.../ if(bitcount == (N) ) data <=...

    Programowalne układy logiczne   24 Cze 2013 13:51 Odpowiedzi: 10    Wyświetleń: 2964
  • Siemens Logo!, jak opisać maszynę stanową?

    Mój algorytm działania działa tak: S0: przy każdym cyklu zegara idź do S1 S1: jeśli sygnał X to S0, jeśli nie S2 S2: jeśli sygnał X to S0, jeśli nie S3 S3: przy każdym cyklu zegara idź do S0 Dla każdego stanu S0-S3 istnieje kombinacja sygnałów wyjściowych. Zegar to wejście (inne niż sygnał X), umownie przycisk monostabilny. Jest to algorytm typowo stanowy,...

    Automatyka Przemysłowa   22 Paź 2013 16:15 Odpowiedzi: 4    Wyświetleń: 2184
  • kod - Prośba o sprawdzenie kodu vhdl

    Uklad generuje 8 razy wiekszy czas od wejscia kluczujacego ok 125 ms niskim stanem /.../ jak ustala sie wpisy w rejestrach tim1-output ma 8*enable to, co ja widze w kodzie: w rejestrze data jest zmierzona dlugosc wysokiego poziomu wejscia enable ; w rejestrze data_obr_min jest wartosc data podzielona przez 8; licznik rejestr odmierza czas, w ktorym...

    Programowalne układy logiczne   29 Sie 2014 13:55 Odpowiedzi: 9    Wyświetleń: 2574
  • Licznik binarny czterobitowy UCY7493

    Najprościej zrobić asynchroniczny reset, kiedy licznik osiąga stan 12 a więc bramkę podłączasz do Qd i Qc

    Początkujący Elektronicy   15 Kwi 2018 15:03 Odpowiedzi: 1    Wyświetleń: 420
  • Latarka akumulatorowa LED, prośba o sprawdzenie schematu.

    zasilaną 3 paluszkami AAA wykorzystującą diodę CREE GP-G3. Kilka uwag tak na szybko. To jest przetwornica boost, więc stosowanie jednej diody LED z Uf ok. 2,7V jest bez sensu przy zasilaniu 4,5V. Rejestr nie osiągnie stabilnego stanu Q3=1 bo to wyjście jest połączone do asynchronicznego resetu. Dlatego tranzystor Q3 nie będzie nigdy wysterowany. Sugerowane...

    Początkujący Elektronicy   14 Mar 2019 12:50 Odpowiedzi: 28    Wyświetleń: 1926
  • AVR i Linuks

    piotr_go dzięki za dobre chęci ale niestety dalej nic. Skorzystałem z Twojej podpowiedzi z drukarką i nawet odinstalowałem cupsys (przy okazji nie wiem dlaczego ale wysypał się xserver i dlatego dopiero teraz odpowiadam) i nic nie pomogło. Zrobiłem jeszcze kilka prób: - wylączyłem komputer - zegar działa, zalączyłem na nowo, zegar się resetuje ( w...

    Mikrokontrolery   16 Sie 2008 09:36 Odpowiedzi: 15    Wyświetleń: 2265
  • Jeden układ ale na dwóch schematach. ISE WebPack.

    Najgorsze jest to że mam wiele wersji tego kodu. na początek przedostatnia wersja przetestowana w realu już wielokrotnie. ----------------------------------------... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Wszystko is Port ( Master_CLK : in STD_LOGIC;...

    Programowalne układy logiczne   09 Kwi 2009 14:26 Odpowiedzi: 15    Wyświetleń: 1978
  • System scalający wideo z dwóch kamer w celu uzyskania obrazu 3D

    Wstęp Systemy wideo, niesamowicie popularne w urządzeniach na rynku konsumenckim, zdobywają coraz większe uznanie w urządzeniach użytkowanych w aplikacjach przemysłowych, na rynku motoryzacyjnym i w systemach automatyki przemysłowej. Wzrost udziału tych systemów w wymienionych aplikacjach związany jest mocno z wprowadzeniem standardów HDMI oraz z zwiększoną...

    Artykuły   07 Maj 2014 13:25 Odpowiedzi: 2    Wyświetleń: 6960
  • Sterownik wyświetlaczy LED na układzie FPGA - miniprojekt

    :) Tak gwoli jeszcze ścisłości jeżeli masz taki kod [syntax=verilog] if(cs_rising_edge) data <= tmp_data; [/syntax] to istnieje prawdopodobieństwo stworzenia Latch'a czyli przerzutnika reagującego nie na zbocze, ale na stan logiczny - ogolnie laczy sie unika, opozniają propagację sygnałów w chipie. Tutaj cię ratuje to, że masz powyżej posedge od...

    DIY Konstrukcje   08 Lip 2013 22:20 Odpowiedzi: 30    Wyświetleń: 22251
  • Barobot - Robot serwujący drinki

    Dzień dobry! Będąc zespołem młodych, zmotywowanych ludzi w ciągu roku zbudowaliśmy robota serwującego drinki oraz zaprojektowaliśmy proces jego wytwarzania. Wymagania jakie sobie postawiliśmy na początku: - dokładnie odmierzane proporcje składników - ma pasować każda popularna szklanka i butelka - powinien dać sobie radę z sokami, alkoholem, mlekiem,...

    DIY Konstrukcje   17 Cze 2014 09:13 Odpowiedzi: 23    Wyświetleń: 29109
  • Synteza VHDL

    Już nieaktualne, automat zaprojektowałem jako synchroniczny taktowany zegarem i daje się go zsyntezować bez problemu. Tzn mam pewną nieścisłość, umieściłem na liście wrażliwościowej sygnał RST i najpierw sprawdzam RST a potem CLK, ma to działać jak reset asynchroniczny. Niestety mimo że moje scalaki mają makrocele z resetem i setem asynchronicznym zawsze...

    Mikrokontrolery   11 Paź 2003 20:27 Odpowiedzi: 17    Wyświetleń: 3372
  • Dzielnik częstotliwości w VHDL-u

    Tak się złożyło, że siedzę ostatnio troche nad pewnym projektem w VHDL-u i mam problem z ustaleniem gdzie jest bład w kodzie. Ogólnie soft wydaje się poprawny, poszczególne bloki jak licznik i mux działają (testowane oddzielnie) ale komparatory nie wystawiają deklarowanych stanów logicznych (na wszystkich 3 występuje identyczny podział). A więc w czym...

    Mikrokontrolery   26 Sty 2005 20:53 Odpowiedzi: 3    Wyświetleń: 6894
  • Zegar czasu rzeczywistego vhdl

    Dobrze, że kolega J.A to zauważył. Chcesz zbudować proces synchroniczny, trzymaj się tej prostej regułki. To jest dobrze: if (clk'event and clk='1') then --tutaj umieszczamy wszystko, bez wyjątku end if; To jest źle: if (clk'event and clk='1') then -- proces synchroniczny end if; if (x=500000) then -- ???, nie synchronizowane...

    Programowalne układy logiczne   06 Sty 2011 17:13 Odpowiedzi: 21    Wyświetleń: 3360
  • Komputer - zawiesza się podczas pracy

    HD Sentinel pokazał takie rzeczy: Informacje systemowe ---------------------- Wersja Windows . . . . . . . . . . . . . . . . . : Windows 7 Home Premium Personal Typ CPU & Szybkość #1 . . . . . . . . . . . . . : AMD Athlon(tm) II X2 255 Processor, 3113 MHz Typ CPU & Szybkość #2 . . . . . . . . . . . . . : AMD Athlon(tm) II X2 255 Processor, 3113 MHz...

    Komputery Hardware   18 Lis 2014 02:23 Odpowiedzi: 6    Wyświetleń: 1596
  • Jak sterować przekaźnik przez transoptor za pomocą AVR ?

    Nie rozumiem dlaczego AVR ma podczas resetu generować "jakieś" dziwne stany - co prawda w AVR - ach nie siedzę - ale z tego co do tej pory wyczytałem to uP ustawia podczas res. stan jako wysokiej impedancji. - I raczej reset portów jest asynchroniczny.

    Mikrokontrolery   13 Wrz 2007 12:26 Odpowiedzi: 12    Wyświetleń: 6941
  • Kolejny zegarek LED na AtMega8, ale dokładny

    Witam wszystkich serdecznie ! Oto kolejny zegarek z wyświetlaczami LED oparty na ATmega8. Znowu ?? Tak, a czemu nie. Konstrukcja powstała jako odpowiedź na zapotrzebowanie na naścienny zegarek z dużymi cyframi widoczny w nocy. Wymiary całości to 180x70x18mm. Zegarek wyświetla tylko godziny i minuty, mruga dwukropkiem oraz posiada automatyczną regulację...

    DIY Konstrukcje   06 Lut 2021 10:49 Odpowiedzi: 344    Wyświetleń: 203810
  • Symulacja shiftera PISO w ISE 9.2

    A który kod symulujesz: ten if reset = '1' then tmp <= "0000"; wy <= '0'; elsif clk'event and clk = '1' then if enable = '1' then tmp <= we; else tmp <= tmp ( 2 downto 0 ) & '0'; end if; wy <= tmp(3); end if; czy ten if reset = '1' then tmp <= "0000"; elsif clk'event and clk = '1' then if enable...

    Programowalne układy logiczne   02 Paź 2009 10:52 Odpowiedzi: 12    Wyświetleń: 2210
  • Moduł generatora VGA - Verilog

    Rozwin temat.... Podaj za przeciw... Na dobra sprawe przy zegarze 25MHz albo w granicach to bez roznicy ale przy mniejszych to jest. Dlatego uzasdnij. niebezpieczenstwo asynchronicznego resetu nie jest zalezne od czestotliwosci zegara; w pewnym skrocie sprawa przedstawia sie tak: sygnal reset ustawia sie w stan aktywny input reset_asynch; reg reset_synch;...

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4713
  • Mikrokomputer COBRA 1

    Hejka Panowie, Dorzuce cos dosc waznego, co wlasnie odkrylem. Moja karta graficzna generuje INT w/g specyfikacji jakie wyczytalem z konstrukcji Harlequina. Cale szczescie kostka, ktora generuje INT ma mozliwosc ustawinia pinu na otwarty kolektor, To "oczywista oczywistość" aczkolwiek Intel twierdził inaczej. Dla nich INT to opadające zbocze co powoduje,...

    DIY Konstrukcje   23 Wrz 2023 10:06 Odpowiedzi: 1436    Wyświetleń: 228609
  • Zegar nixie na CD4017 - przeróbka z 12 na 24 godziny.

    Niestety są kolejne problemy. Te dwa kondensatory 100nF znacznie poprawiły stabilność, ale wciąż zdarza się, że po uruchomieniu licznik dziesiątek godzin nie wyświetla nic, albo godziny zerują się po 13... albo po wyzerowaniu licznik dziesiątek godzin wyświetla jednocześnie zero i dwa. Wyświetlacze nadal potrafią zgłupieć... Najczęściej zdarza się,...

    Projektowanie Układów   06 Cze 2018 04:15 Odpowiedzi: 45    Wyświetleń: 4461
  • Kod w VHDL - konwerter kod binarny na 1z8

    Racja racja czyli jeżeli są WSZYSTKIE wejścia w liście czułości to jest on kombinacyjny. A jak niema chociaż jednego to już nie. To chyba nie jest właściwy wniosek. Wydaje mi się, że powinno się raczej to ująć tak: 1. Proces w języku VHDL, używając poleceń sekwencyjnych, może opisywać zarówno układ kombinacyjny lub sekwencyjny. 2. Układ synchroniczny...

    Programowalne układy logiczne   16 Sty 2021 10:50 Odpowiedzi: 9    Wyświetleń: 1254
  • machxo2/verilog - nie działają połączenia wire między licznikami - początkujący

    Piotrze, a spróbuj jeszcze zamiast: always dać always (at)(*) Bo u mnie np. przy generacji schematu RTL wywala błąd E:/Alagner/Dokumenty/Lattice/liczniki/li... ERROR: (ST-6002) Always Block 'licznik_uniq_1.always' does not have a delay or sensitivity list, possible simulation hang. E:/Alagner/Dokumenty/Lattice/liczniki/li... ERROR:...

    Programowalne układy logiczne   30 Mar 2013 00:09 Odpowiedzi: 15    Wyświetleń: 2856
  • Athlon XP 2600+,MOBO K7S41GX - Zawieszania się PC, Uszkadzanie dysku

    Komputer działa stabilnie, poza jedną, może nieistotną drobnostką. Pracuje na 166 FSB i podczas grania w niektóre gry komputer się resetuje. Problem znika, gdy obniżę FSB do 165, lecz jest to tryb asynchroniczny i nurtuje mnie ta drobnostka. P.S. Przed założeniem tego tematu też tak miałem.

    Komputery Hardware   30 Kwi 2013 21:05 Odpowiedzi: 25    Wyświetleń: 3312
  • Swiecaca Rzezba / Zegarek

    Witam, Moze rzeźba to za dużo powiedziane, sami oceńcie. Dwa miesiące, pełno rezystorów, kondensatorów, układów scalonych itp. później "Robot" jest przyklejony do starego procesora (chyba AMD), w pozycji klęczącej/ modlącej. "Robota" trzeba włączyć o godzinie 12:00 lub wcisnąć reset. Żyje dzięki pastylce 3V (CR2032). Pomiędzy 8:00 a 22:00 co godzinę,...

    DIY Poczekalnia   19 Lut 2014 18:43 Odpowiedzi: 7    Wyświetleń: 2469
  • STM32, IRQ, Interrupt, USART - Przerwanie - kilka USARTów STM32

    Witajcie. Dalej mam podobny problem. Używam STM32F407. Posiadam staram się obłużyć 3 różne USARTY (USART1, USART3 i UART4) wszystkie pracują w trybie asynchronicznym. Pod te usarty podpięte są następujące urządzenia: -USART1 - GPS z protokołem NMEA wysyłający dane co 1 sekundę -USART3 - datalink łączący płytkę z STMem z komputerem. -UART4 - akcelerometr...

    Mikrokontrolery ARM   30 Sty 2015 11:11 Odpowiedzi: 14    Wyświetleń: 5559
  • 10 technik, które pozwolą na stworzenie niezawodnego układu z FPGA (część 1)

    Wszyscy chcemy, aby nasze układy FPGA były niezawodne w swoim docelowym środowisku pracy. Szczególnie, jeśli układ taki pracuje w krytycznej dla bezpieczeństwa sekcji urządzenia. W takich aplikacjach musimy przyjrzeć się bliżej nie tylko całej architekturze zakodowanej w FPGA, ale także szczegółom realizacji tej architektury i detalom podczas projektowania...

    Artykuły   07 Wrz 2016 11:59 Odpowiedzi: 0    Wyświetleń: 1992
  • Usypianie/wybudzanie ATmegi przyciskiem.

    Albo zrobić to flagami. Rozwiązań jest wiele. Sam proponowałeś rozwiązanie z flagami? robiw Mozna z flagami. Usypiamy procka ale, gdy przycisk naciiśnięty zstanie wybudzony. Sprawdzamy flagę, stan przycisui i usypiamy, Sprawdzanie flagi jest potrzebne aby stwierdzić czy przed uspieniem przycisk był zwolniony czy nie, jak nie to uspienie, jak był zwolniony,...

    Mikrokontrolery   19 Lis 2017 12:30 Odpowiedzi: 37    Wyświetleń: 2022
  • Jak zrobić programowy PWM z wyjściem przeciwsobnym (na dwóch wyjściach)?

    W zasadzie to dwie różnice ;) Chociaż próbowałem też z tymi klamerkami po while(1). Faktycznie, nie zwróciłem uwagi na typ użytej zmiennej w pętli i jej przepełnienie :D Teraz działa jak należy. Natomiast i tak problemem będzie brak możliwości implementacji tego do attiny. Druga rzecz; próbowałem tego resetowania softowego z użyciem void(* resetFunc)...

    Arduino   17 Sie 2020 15:02 Odpowiedzi: 60    Wyświetleń: 2610
  • Modyfikacja obwodu "soft latch power switch" - dodanie nowej funkcji

    Jest jednak szczegół, który może być istotny, mianowicie gdy do powerbanka nie jest podłączone znaczne obciążenie, jego przetwornica się wyłącza (granica to jakieś 100mA). Sprawdziłem, że maksymalna oporność, jaką należy zewrzeć + i - w gnieździe USB, aby przetwornica się uaktywniła, to 4.7kΩ (10kΩ już nie działa). To jest osobny sporny problem z zachowaniem...

    Projektowanie Układów   07 Wrz 2023 07:45 Odpowiedzi: 18    Wyświetleń: 414
  • Modulo 10 od tyłu. Jak to można zrobić?

    Witam! Przepraszam, że tak późno - dopiero dziś kombinowałem i rysowałem. Tu masz asynchroniczny, jak chciałeś na JK i NAND-ach. "Dedykowany" pod 7473 itp. Wyjściami licznika są wyjścia proste: A, B, C, D. Pamiętaj, że 7473 (i inne JK_Master Slave) zatrzaskuje stan wejść przy dodatnim zboczu, a wyjścia zmienia przy ujemnym (musi wystąpić pełny impuls...

    Początkujący Serwisanci   05 Mar 2006 12:54 Odpowiedzi: 10    Wyświetleń: 3645
  • Analiza czasowa układu w środowisku ISE

    Witam, dotarłem do etapu pracy, w której przeprowadziłem analizę czasową. Niestety jak to zwykle bywa układ nie działa tak jak powinien. Ustawiłem ograniczenie globalne dla linii zegarowej, po wielu zmianach układ udało mi się tak dopasować aby ISE nie zwracało błędu 'time constrain validation'. W moim mniemaniu oznacza to, że opóźnienie na wszystkich...

    Programowalne układy logiczne   12 Wrz 2007 09:47 Odpowiedzi: 16    Wyświetleń: 1658
  • AVR - jak zacząć i co wiedzieć trzeba.

    Zarys. AVRy to sympatyczne uC (mikrokontrolery) firmy znanej chyba wszystkim z produkcji legendarnej "51" z pamięcią Flash - . Rodzina ta należy do procesorów RISC - choć lista rozkazów jest dość rozległa Smile. Większość rozkazów wykonują w JEDNYM takcie - w przeciwieństwie do np. 12 taktowych MCS-51. Dodatkowo posiadają mechanizm pipeline czyli przetwarzania...

    Mikrokontrolery AVR   16 Cze 2016 09:01 Odpowiedzi: 17    Wyświetleń: 54751
  • Łączenie układów logicznych

    Tylko że kondensator zmniejszy maksymalną częstotliwość pracy układu mam rację? Wpadłem na lepsze rozwiązanie a mianowicie urządzenie 'Power-on reset' przy włączeniu układ jest resetowany impulsem, aby potem już w trybie pracy każda bramka była w stanie ustalonym tak jak to jest w mikrokontrolerach. Pozdrawiam Liczyłem na tą "wpadkę" :) Po to jest...

    Początkujący Elektronicy   13 Lut 2010 18:11 Odpowiedzi: 13    Wyświetleń: 1425
  • moduł 2 kanałowy PWM na układach 74150

    Witam Jakiś czas temu przeglądając układy w szufladzie znalazłem 74150N. Może nawet z CEMI. Układy pochodzą z czasów kiedy byłem jeszcze uczącym się głąbem, składającym proste układziki. Np zasilacz na LM, jakieś diody LED na rejestrach itp. Miał to być jakiś selektor do syntezy częstotliwości. Pomijając że generalnie idea i tak pewnie by nie działała,...

    DIY Konstrukcje   26 Wrz 2014 19:33 Odpowiedzi: 2    Wyświetleń: 9297
  • Attiny2313 - Różnica pomiędzy INT a PCINT

    Przede wszystkim PCINT wymaga większego nakładu programowego. Przy włączonych wielu źródłach danego przerwania PCINT trzeba analizować, który pin zgłosił przerwanie i w jaki sposób się to odbyło (które zbocze). PCINT jest niżej w hierarchii przerwań, zatem na obsługę tego przerwania trzeba czasem dłużej poczekać (w zależności od konstrukcji programu)...

    Mikrokontrolery   21 Kwi 2016 09:25 Odpowiedzi: 4    Wyświetleń: 2406
  • SystemC - UART, szukam kodu lub wsparcia

    Witajcie, muszę stworzyć w SystemC UARTa a dokładniej mówiąc część RX z niego. Od kilku dni kopię po internecie jak krecik z bajki i jedyne co wykopałem to wielką stertę śmieci... Szukam kodu w SystemC (lub czymś innym co mógłbym przerobić). Znalazłem kilka lecz wszystkie albo nie działały, albo nie miały sensu, albo symulacja się krzaczyła i pokazywała...

    Programowanie   05 Cze 2016 18:23 Odpowiedzi: 0    Wyświetleń: 573
  • ESP8266-12E - Termostat Sous Vide na Http

    W planie termostat sterowany poprzez okna dialogowe,przyciski na webserwerze według takiego schematu działania: -Zegar pokazujacy Aktualna Temperature z czujnika -Zegar do Zadawania temperatury -Zintegrowany Timer z biblioteka PID,gdy ustalam temp zadana timer się włącza -Zintegrowany przekaźnik z czujnikiem temperatury i biblitoteką PID i timerem -Jeden...

    Arduino   24 Lis 2016 13:35 Odpowiedzi: 7    Wyświetleń: 2379
  • Jaka zmieniarka CD najlatwiejsza w sterowaniu?

    łohoho, mam zmieniarke cdx-51 sony (sterowanie unilink), chcę sterować nią z mikrokontorlera. Data i clockn przypominają mi magustralę i2c. Czy tak jest w rzeczywistości, czy mozna użyć procedur i2c do sterwania unilink? Unilink(...)jest oparty na transmisji asynchronicznej typu rs i można bezpośrednio wykorzystać sprzętowy uart uC Hmm, RS? Data i clock...

    Radia Samochodowe Serwis   06 Wrz 2007 16:24 Odpowiedzi: 9    Wyświetleń: 3337
  • Quartus II i problem w symulacji CPLD

    nie znam się co prawda na Verilogu, ale kolega J.A miał na myśli (a może się myle :) że proces albo jest asynchroniczny albo synchroniczny, tzn jeśli na liście czułości znajduje się 'clk' to nie ma prawa tam być nic innego, stąd przypuszczam że będzie to wyglądać mniej więcej tak: always (at) (posedge clk) begin if (reset) count <=...

    Programowalne układy logiczne   08 Maj 2008 14:44 Odpowiedzi: 8    Wyświetleń: 2784
  • ATMEGA128 + SIM900D - inicjalizacja i nie chce gadać

    może teraz czytelniej: void uart_open(void) { SREG_Bit7 = 0; //globalne zadblokowanie przerwan UBRR0H=0;// starsza cześć, // ustawiam prędkość 9600 dla zegara 8MHz UBRR0L=71;// młodsza część// ustawiam prędkość 9600 dla zegara 8MHz UCSR0C=0x06;UCSR0B=0x18; // transmisja asynchroniczna, jeden bit stop, ramka 8 bit SREG_Bit7 = 1; //globalne...

    Mikrokontrolery AVR   05 Maj 2012 22:47 Odpowiedzi: 13    Wyświetleń: 3046
  • [VHDL] - Zrozumienie procesu

    Witam. #1 - może Kolega sprawdzić ,,view RTL schematic'' i ,,technology schematic'' w ISE (przypuszczam, że jeśli Xilinx to i ISE). Jak nie będzie miał kolega wniosków, to może tu wkleić obrazki. Teoretycznie - czyli w symulacji behawioralnej jest dobrze. #2 - proces reaguje na zmianę SPI_Action - sygnał, który (albo z nadania Kolegi albo kompilatora)...

    Programowalne układy logiczne   31 Paź 2012 22:16 Odpowiedzi: 9    Wyświetleń: 3726
  • Wyświetlacz 6 LED 1wire

    Cieszę się, że ci to działało, ale wystarczy zaglądnąć do noty procesora i zrobić parę prosty obliczeń, żeby przekonać się, że ci USART taktowany wewnętrznym generatorem w ATMega działał wyłącznie przez przypadek. Tak na szybko ja znalazłem dwa przykłady obliczeń: Stabilność oscylatora RC rzędu 1% wydaje się aż za nadto dobra w komunikacji z komputerem...

    DIY Konstrukcje   28 Sty 2014 12:18 Odpowiedzi: 18    Wyświetleń: 16197
  • Licznik szeregowy modulo 13

    Cześć, próbuje zrobić licznik szeregowy modulo 13, liczący w górę z wykorzystaniem przerzutników D. Jednak po odpaleniu symulacji nie następuje nigdzie reset z 12 do 0 - po osiągnięciu 12 już nigdy nie zmienia się wartość. Tutaj są moje tablice. Kolumny są ponumerowane w ten sposób: DCBA, gdzie 7 = 0111 Mała poprawka, w kolumnie A jest: A'D' + A'C'D....

    Nauka Elektroniki, Teoria i Laborki   07 Gru 2016 22:11 Odpowiedzi: 0    Wyświetleń: 1734
  • UART i watchdog w PICu - dziwne zachowanie

    Jedyne co mi przychodzi do glowy to to, ze watchdog resetuje procka w polowie wysylania konkretnego bajtu. W wyniku tego rozpada sie synchronizacja miedzy kompem a procem i komp odbiera polowe poprzedniego bajtu i polowe nowego (niekoniecznie dokladnie polowe, moze byc np 2 bity i 6 bitow albo kazda dowolna inna konfiguracja. Moze tez odbierac kawalem...

    Mikrokontrolery   20 Gru 2005 18:06 Odpowiedzi: 5    Wyświetleń: 1071
  • Kostka do gry w VHDL-pomocy!!!!!!!!

    1. Ten przycisk nie stworzy dodatkwej logiki w moim procesie, to bedzie set na przerzytnikach. Dodawanie linii typu cos <= cos nie ma sensu bo i tak narzedzie syntezy to wyrzuci, polecam czytanie logow z syntezy, sa pouczajace ;-) 2. Reset i zegary to podstawa ukladu, jesli masz zle zaprojektowany reset ukladu lub/i zle rozdzielone domeny czasowe...

    Programowalne układy logiczne   28 Mar 2006 08:34 Odpowiedzi: 15    Wyświetleń: 3922
  • Synteza procedury w VHDL - haczyk

    "]Jak na moje oko to program robiący syntezę "dał cienia" nie sadze, kompilator xilinx to stara, doswiadczona marka; to jest temat na wielogodzinna dyskusje z teoretykami symulacji i syntezy, jako praktyk powiem tyle: vhdl powstawal w czasach, gdy jeszcze fpga nie bylo na swiecie, powstal jako jezyk do modelowania wlasciwie czegokolwiek, niekoniecznie...

    Programowalne układy logiczne   15 Lis 2007 16:41 Odpowiedzi: 11    Wyświetleń: 1830
  • Przerzutnik JK - zasada działania, wzbudzanie

    W przerzutniku JK są zawarte dwa przerzutniki RS rozdzielone bramkami. Gdy CLOCK=1 to sygnały z wejść J i K ustawiają pierwszy przerzutnik tzw. master (pan) Gdy CLOCK=0 to sygnały z wejść J i K są odcinane od pierwszego przerzutnika a do jego wyjść jest podłączany przerzutnik wyjściowy SLAVE (niewolnik), który zapamiętuje stan tego wewnętrznego przerzutnika....

    Początkujący Elektronicy   24 Lut 2008 20:57 Odpowiedzi: 1    Wyświetleń: 1581
  • USART w PICu. Powód błędnego odbioru?

    Niewidoczne zasilanie i masa maxa232 są podłączone. A tak jest zaprogramowany moj PIC (probuje wysłac narazie pojedynczy znak): #include <p18f2620.h> #include <stdio.h> #include <stdlib.h> #include <adc.h> #include <usart.h> #include <pconfig.h> #include <portb.h> #include <delays.h> #pragma config WDT...

    Mikrokontrolery   08 Kwi 2008 15:15 Odpowiedzi: 23    Wyświetleń: 1958
  • WinCupl, a projektowanie przerzutników.

    Witam.... na ćwiczenia laboratoryjne z techniki cyfrowej dostałem za zadanie stworzenie programu realizującego funkcję przerzutników RS, JK, D i T ( posiadających wejścia ustawiające i resetujące ) w programie WinCupl. Nie mam pewności czy stworzony przeze mnie program po zaimplementowaniu do układu GAL 16V8 przez programator LABTOOL-48XP będzie działał...

    Nauka Elektroniki, Teoria i Laborki   20 Maj 2008 12:55 Odpowiedzi: 1    Wyświetleń: 2154
  • [ATMEGA16/32][C] Timer2 odmierzanie czasu

    łał :) Super opis - co prawda wszystkiego się domyślałem i upewniałem przy próbach uruchomienia. A jak wygląda dokładniej ta praca asynchroniczna - technicznie wiem tylko programowo jak to obsluzyc. Drugi obecnie problem to wieszanie się / resetowanie mojego programu. Troszkę go zmodyfikowałem obecnie ma on postać: // Testowanie timera 2 #define F_CPU...

    Mikrokontrolery AVR   21 Paź 2008 20:20 Odpowiedzi: 5    Wyświetleń: 4253
  • [cpdl][vhdl] Probkowanie sygnalow na zboczu opadajacym

    /.../zeby licznik liczył nieprzerwanie i był resetowany, jeżeli na opadającym zboczu nCS zmienna data = '0'/.../ zapewne funkcje ktora chcesz zrealizowac, mozna napisac bardziej zgodnie z zasadami sztuki, asynchroniczne zerowania, ustawiania niosa ze soba niebezpieczenstwo blednego dzialania; nie polecalbym takiego rozwiazania, ale jak mozna to zrobic...

    Programowalne układy logiczne   26 Lis 2008 18:48 Odpowiedzi: 8    Wyświetleń: 2043
  • ATmega32 datasheeet PL - instrukcja w pdf

    Atmega128 zapewnia następujące elementy: 128K bajtów wewnętrznej programowalnej pamięci typu FLASH z dopasowaniem odczytu podczas zapisu, 4K bajty EEPROM, 4K bajty SRAM, 53 linie wejścia/wyjścia, 32 robocze rejestry, Licznik rzeczywistego czasu(RTC), cztery liczniki z trybami porównywania i PWM, dwa USART, bajtów zorientowany dwu-kablowy równoległy...

    Mikrokontrolery AVR   19 Mar 2010 10:18 Odpowiedzi: 12    Wyświetleń: 16691
  • Problem z USARTem Atmega16+Atmega8 [C]

    Ludzie... Poprawiłem ten błąd, to była literówka przy wpisywaniu na forum. W programie było dobrze. Tu poprawiony kod, dalej zachowuje się tak jak opisałem na początku. #include<avr/io.h> #include<avr/interrupt.h> volatile int b=20; volatile char key; ////////////////////////////////////////...

    Mikrokontrolery AVR   08 Gru 2009 13:10 Odpowiedzi: 8    Wyświetleń: 1407
  • Bootloader AVR - "TOMLOADER"

    tak wyglądają błędy podczas kompilacji tu natomiast wklejam kod który pozmieniałem, a nie wiem czy dobrze ; Prosty program boot loader-a dla interfejsu RS-232 ; Rafał Baranowski (C) 2004 //**************************************... /* * Modyfikacja programu bootloadera: * inż. Tomasz Sklenarski * e-mail:...

    DIY Konstrukcje   14 Maj 2012 07:04 Odpowiedzi: 97    Wyświetleń: 27594
  • [Atmega128][C] USART0 działa i USART1 gubi znaki

    Witam, Mam następujący problem. Buduje sobie lokalizator. Przez USART0 komunikuję się z GPSem (GPS wysyła dane co 1s) i tu komunikacja przebiega jak należy. Natomiast przez USART1 komunikuję się z GSMem. W tym przypadku wysyłanie działa poprawnie, natomiast odebrane dane zawierają tylko dwa pierwsze i dwa ostatnie znaki. Sprawdzałam procka RealTermem...

    Mikrokontrolery   04 Wrz 2020 07:53 Odpowiedzi: 20    Wyświetleń: 2144
  • [VHDL] przerzutnik typu T

    Chciałbym zapytać o poprawność zapisu 1. narastające zbocze, clear asynchroniczny stan niski, set synchroniczny stan wysoki 2. opadające zbocze, clear synchroniczny stan wysoki, set asynchroniczny stan niski Czy to będzie tak: 1: architecture T of T is signal q_reg: std_logic; signal q_next: std_logic; begin process begin if (clk'event and clk = '1')...

    Początkujący Elektronicy   02 Mar 2012 10:30 Odpowiedzi: 0    Wyświetleń: 2069
  • [ATmega8L] - Ustawienie fusebitów dla 32.768

    Specyfika tego mikrokontrolera, zmusza Ciebie do zastosowania Timera2 w trybie asynchronicznym, a mikrokontroler powinien być taktowany wewnętrznym generatorem RC, czyli tak jak radzi kol. wyżej. Przy takim rozwiązaniu korzystać możesz ze specjalnego trybu oszczędzania energii: POWER SAVE. Niestety nie da się w tym mikrokontrolerze taktować kwarcem...

    Mikrokontrolery Początkujący   26 Mar 2013 19:49 Odpowiedzi: 4    Wyświetleń: 1569
  • Prosta aparatura RC 433MHz na Atmega8 i Attiny2313

    Witam. Przedstawione rozwiązanie powstało z myślą o zdalnym (radiowym) sterowaniu modelem samochodu. Umożliwia płynne regulacje: kierunku (lewo/prawo) oraz prędkości ruchu (przód/tył). Rozkaz typu "włącz/wyłącz" pozwala np. uruchamiać sygnał dźwiękowy (klakson) lub włączać światła. Spośród innych opisanych na Forum prezentowany projekt wyróżnia się...

    DIY Poczekalnia   12 Maj 2015 22:21 Odpowiedzi: 31    Wyświetleń: 18627
  • [STM32F1][C] - Efektywna komunikacja komendami AT

    Tom RealTime Akurat mam Telit-a GL865. Komunikacja wygląda tylko na zasadzie zapytanie-odpowiedź, jedyną sytuacją jest połączenie przychodzące i ewentualnie dane GPRS które przychodzą asynchronicznie. Program piszę bez żadnego OS-a. Z mojego punktu widzenia wykorzystanie DMA może być problematyczne ponieważ nie mam stałej komend wysyłanych do modułu,...

    Mikrokontrolery Początkujący   10 Maj 2014 12:01 Odpowiedzi: 13    Wyświetleń: 2019
  • Bezprzewodowy termometr do pieca C.O. BASCOM 433MHz z zapisem danych na kartę SD

    jeszcze nie tak szybko z tą publikacją gdyż teraz mam drobny problem z odbiornikiem. Niby działa ale po pierwsze ta adaptacyjna zmiana podświetlenia powinna być płynna? U mnie praktycznie albo świeci na maxa albo lekko, tzn są tylko dwa stopnie świecenia + wyłączony. To jeszcze nie tak źle, bo gorszy jest alarm. Próbuję rozwikłać jak działa to w programie...

    DIY Konstrukcje   21 Lip 2018 13:17 Odpowiedzi: 124    Wyświetleń: 49605
  • 3-bitowy rejestr przesuwny

    Mam zadanie o treści: 3-bitowy rejestr przesuwny z równoległym synchronicznym wpisem informacji Schemam ma być przy użyciu przerzutnika typu D Tutaj moje rozwiązanie: Pytania: Czy poprawnie jest to rozwiązane bo nie jestem do niego przekonany? Nie, równoległy synchroniczny wpis informacji oznacza, że masz mieć trzy wejścia danych oraz sygnał wpisu...

    Nauka Elektroniki, Teoria i Laborki   17 Lis 2014 08:51 Odpowiedzi: 12    Wyświetleń: 6639
  • power down mode pobór prądu

    Dodatkowa informacja jeśli chodzi o tryb power down. Popracowałem znowu trochę ostatnio i podaję na tacy pewne fakty które ustaliłem: Aby maksymalnie zbliżyć się do deklarowanych <0.1uA w trybie Power Down należy: 1. Uważać aby nie mierzyć prądu zasilającego procek przy podpiętym programatorze, część prądu może "konsumować" sam programator, oczywiście...

    Mikrokontrolery AVR   31 Lip 2015 08:16 Odpowiedzi: 35    Wyświetleń: 2925
  • Zegar szachowy na mikroprocesorze ATMEGA8

    Dzień dobry, chciałbym opisać zegar szachowy mej konstrukcji. Pomysł zbudowania takiego zegara zrodził się w mej głowie, kiedy w okresie zainteresowania mikrokontrolerami chciałem stworzyć coś, co będzie sterowało wyświetlaczem LCD. Nie chcąc kopiować oklepanych pomysłów typu wyświetlenie napisu "hello world" czy zwykły zegarek, postawiłem na konstrukcję,...

    DIY Poczekalnia   18 Lis 2015 11:56 Odpowiedzi: 4    Wyświetleń: 5508
  • Licznik modulo 53 - układ scalony 7493

    Tu masz rysunki wyjaśniające obie idee skracania cyklu licznika. Synchroniczny (CLK - przebieg wejściowy, A52 - asynchroniczne, kombinacyjne wykrycie stanu 52, RST - synchroniczny reset licznika na wyjściu przerzutnika D, stan - stan licznika, zliczona liczba): Asynchroniczny (CLK - przebieg wejściowy, A53 - asynchroniczne, kombinacyjne wykrycie stanu...

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4038
  • Przerzutnik JK - przebieg wyjściowy

    Często posiada również asynchroniczne wejścia kasujące R (Reset) i ustawiające S (Set). Programowo asynchronicznie ustawione 0 na wyjściu Q i 1 na not Q: Na wyjściu ciągle masz 0 bez względu na liczbę inwerterów. edit źle napisałem, na pr jest 1 więc jest nieaktywny, będzie więc tak jak w poście wyżej, swoich wypocin nie usuwam, żeby nikt nie pomyślał,...

    Nauka Szkolnictwo   31 Gru 2016 12:06 Odpowiedzi: 2    Wyświetleń: 777
  • Ciekawostki o 8-bitowych mikrokontrolerach AVR

    Od kilku lat programuję 8-bitowe mikrokontrolery AVR i przez ten czas zdobyłem trochę wiedzy na ich temat. Poniżej znajduje się kilka ciekawostek o tych układach. Jeśli znacie jakieś inne interesujące informacje, to piszcie. Najnowsza wersja artykułu jest również dostępna na mojej stronie: 1. Rozpoznawanie wersji (rewizji) Wersja (rewizja) mikrokontrolera...

    Artykuły   01 Kwi 2020 10:19 Odpowiedzi: 44    Wyświetleń: 10098
  • lenovo ideapad 320 - nowy, a nie nadaje sie na kalkulator

    dostałam zainfekowany komp ze sklepu?;/ bo od poczatku mam ten problem. wiem ze to nie jest komp do gier, ale nie da sie wykonywac podstawowych czynnosci... poza tym kazda z gier dawala rade na naprawde starszych/slabszych kompach.... faraon jest chyba z 98 roku i naprawde nie ma wymagan sprzetowych ;) wrzucam to co wyszlo Rezultaty skanu uzupełniającego...

    Laptopy Hardware   17 Kwi 2019 14:22 Odpowiedzi: 20    Wyświetleń: 1200
  • Jak prawidłowo zaprojektować licznik JK rewersyjny od 11?

    Skąd reset, chyba jasne: czas propagacji przerzutnika, dłuższy, niż pojedynczej bramki, powoduje, że przez moment na wyjściach a, b, c, d, po 8 pojawia się 15, co powoduje zerowanie trzeciego licznika. Nota bene: dwóch pierwszych przerzutników (a, b) nie musisz ustawiać. One, nawet ze skrótem liczą sobie 4-3-2-1-0-4, w niezakłóconym rytmie. Pytanie,...

    Nauka Elektroniki, Teoria i Laborki   12 Cze 2020 01:47 Odpowiedzi: 1    Wyświetleń: 555
  • Błąd 0x80070424 podczas próby aktualizacji Windows 10

    Rezultaty skanu uzupełniającego Farbar Recovery Scan Tool (x64) Wersja: 09-11-2021 Uruchomiony przez wikto (13-11-2021 21:35:41) Uruchomiony z C:UserswiktoDownloads Microsoft Windows 10 Home Wersja 21H1 19043.928 (X64) (2021-11-10 19:59:54) Tryb startu: Normal =================================... ==================== Konta użytkowników:...

    Komputery Hardware   13 Lis 2021 22:44 Odpowiedzi: 7    Wyświetleń: 387
  • Licznik modulo 204 metodą skracania cyklu liczników + zatrzask RS

    Przygotowując się do egzaminu z Techniki Cyfrowej, trafiłem na następujące zadanie: Zaprojektować asynchroniczny licznik modulo 204 w NKB metodą skracania zakresu, używając asynchronicznych liczników modulo 8 (liczących w NKB) i bramek logicznych. Wskazane liczniki składowe mają: asynchroniczne wejście zerujące aktywne poziomem wysokim i wejście zegarowe...

    Nauka Elektroniki, Teoria i Laborki   11 Sty 2022 18:22 Odpowiedzi: 4    Wyświetleń: 513
  • Co sądzicie o płycie K7S5A....

    ja mam ecesa i jest ok ale do choler.... jak ja kupowaliscie to trzeba było sie zapoznac z recenzjami w necie - pewnie jeszcze teraz sa na niekturych stronach i wyraznie jest podkreslone ze sie zawiesza resetuje i traci ustawienia w biosie jakkkkkkkkkkkk zasilacz jest ponizej 300wat albo magistrala chodzi na 133mhz albo pamiec jest taktowana asynchronicznie...

    Komputery Hardware   21 Maj 2003 08:19 Odpowiedzi: 61    Wyświetleń: 8216
  • Grundig WKC 5100 RDS

    Temat całościowo jest dość obszerny i nie wiem czy uda mi się tu wszystko zwięźle zsumować i streścić. Zacznijmy od podstaw : Niezaprzeczalną rację ma SlaweK Programy do obsługi peryferii (czyli wszystkie programatorki itd) do poprawnej pracy muszą wykonywać poszczególne operacje z odpowiednim opóźnieniem .Kto pisał cokolwiek pod PC ,to wie jak dużym...

    Radia Samochodowe Serwis   26 Gru 2004 11:16 Odpowiedzi: 53    Wyświetleń: 10006
  • Proszę o pomoc - Zadania: liczniki, przerzutniki

    To mój pierwszy post na tym forum i mam nadzieję, że nie łamie żadnych zasad publikując taki temat. Chciałbym równierz, abyście pomogli mi rozwiązać chociaż jedno z tych zadań. Z góry wielkie dzięki! 1. Zbudować, wykorzystując metodę resetowania, licznik asynchroniczny o pojemnosci 13 oparty o przerzutniki typu JK. 2. Zbudować, wykorzystując przerzutniki...

    Początkujący Elektronicy   26 Sty 2005 07:35 Odpowiedzi: 5    Wyświetleń: 4901
  • ATmega 8L USART - problem z odczytem danych.

    Witam! Zrobiłem sobie układ oparty o uP ATmega 8L (taktowany wew 1MHz). Procesor ma wysyłać i odbierć dane po łączu szeregowym USART. O ile z wysyłaniem nie ma problemu (zawsze wysyłane są jednorazowo 2 bajty) o tyle sprawa komplikuje się przy odbiorze danych. Dane "dochodzące" do uP nie mają stałej długości (czasami są to 4 bajty, czasami 10 itd)....

    Mikrokontrolery   16 Paź 2004 05:23 Odpowiedzi: 8    Wyświetleń: 2549
  • karta chip i at89c2051 - odczyt

    Nie jestem na 100% pewien, ale karta TPSA jest raczej kartą asynchroniczną zgodną z ISO-7816. Jak podamy jej taktowanie 3,57MHz to powinna z nami gadać z szybkością 9600bitów/s. Po resecie karty otrzymamy słowo ATR, niestety nie mam pojęcia jakie instrukcje służą do komunikacji z tą konkretnie kartą. Ogólny format instrukcji dla karty ISO-7816 jest...

    Mikrokontrolery   28 Paź 2012 13:24 Odpowiedzi: 54    Wyświetleń: 17402
  • tablice Karnaugha dla przeżutników

    Należałoby uściślić nieco, skoro traktujemy go jako automat i powiedzieć, że ma 14 stanów wyjść albo np. zawartości : 0..13. Przy zawartości 14 następuje reset, czyli 13 jeszcze ma być. Stanów wewnętrznych jako automat być może ma mniej, nie zastanawiałem się. Dodano po 50 Tak sobie teraz skojarzyłem, że choć jest to licznik synchroniczny, to jego automat...

    Początkujący Elektronicy   19 Mar 2005 21:32 Odpowiedzi: 17    Wyświetleń: 4125
  • Problem z Athlonem Mobile 2500 XP+

    O co chodzi z tym asynchronicznym ??? i jak to się ustawia?? A wogole, dzieki Panowie za odpowiedzi, ale powazniej się zastanowie nad zmiana plyty glownej... Pozdrawiam All Asynchronicznie tj. żeby nie szły razem z FSB 1:1 czyli FSB 133 to RAM też 133, trzeba dać wtedy np. FSB 133 a RAM 100 MHz i już Ci się komp nie będzie resetował.

    Komputery Eksploatacja   03 Kwi 2005 18:49 Odpowiedzi: 21    Wyświetleń: 2072
  • 8051 i stany nieustalone

    A nie jest to sprawa resetu asynchronicznego portów?

    Mikrokontrolery   10 Wrz 2005 18:14 Odpowiedzi: 5    Wyświetleń: 1374
  • ECS K7S5A i problem z SD Ramem PC133

    Twój pomysł jest dobry. K7S5A bardzo często źle radzi sobie z pamięciami pracującymi asynchronicznie. Jest to płyta bardzo wrażliwa na takie rzeczy, wykonana przez producenta dosyć oszczędnie. Wynika to prawdopodobnie z nieco innego terminowania pamięci niż jest w specyfikacji chipsetu. Należy znaleźć ustawienia stabilne i zapomnieć, o tym, że mogło...

    Komputery Hardware   05 Gru 2005 16:02 Odpowiedzi: 4    Wyświetleń: 945
  • PWM dla procesora FPGA w VHDLu

    Ogólnie procesik begin if (CLKin'event and CLKin = '1') then clkcount <= clkcount + '1'; if clkcount < PWMin then clkval <= '0'; else clkval <= '1'; end if; if clkcount = "1111" then clkcount <= "0000"; end if; end if; end process clkdiv; proponowałbym zrealizować tak: begin if rst = '1' then clkval <= '0';...

    Mikrokontrolery   29 Maj 2006 22:12 Odpowiedzi: 3    Wyświetleń: 1061
  • Sshemat Licznika MODULO 524

    Witam, Witam wszystkich Potrzebuje pomocy a mianowicie: Musze wykonać schemat w EWB licznika MODULO 524 - Czyli liczy od 0 do 523 Wykonuje go w taki sposób, że: - na samym początku bramka schmitta, - na każdą liczbę (czyli: jedności, dziesiatki, setki) przypadają 4 przerzutniki JK liczące do 16 - ale ograniczone aby liczyły do 9. - Jak jedności doliczą...

    Projektowanie Układów   13 Cze 2006 12:28 Odpowiedzi: 9    Wyświetleń: 2932
  • Czy istnieje coś takiego jak modulo 60?

    Pozostaje jeszcze zwykły licznik np. 8 bitowy i komparator, jak licznik doliczy do 59 to komparator (musi być na tyle ustawiony) resetuje licznik z wejścia asynchronicznego. tu miales na mysli chyba komparator na 60 a nie na 59. Chodzi o licznik modulo 60 a nie 59. W sumie racja bo się będzie kasowało asynchronicznie...

    Mikrokontrolery   06 Wrz 2006 19:20 Odpowiedzi: 5    Wyświetleń: 2627
  • Kluczowanie PWM na AVR. Jak to elegancko rozwiązać?

    Jak wszystkim wiadomo wiatraki PC aby możliwy był odczyt obrotów muszą być kluczowane od strony 12V. Jak będziesz kluczował, to dostaniesz sieczkę na wyjściu czujnika obrotów. Trzeba zasilać stałym napięciem. Żeby je uzyskać wystarczy na wyjściu PWM dodać filtr dolnoprzepustowy (najlepiej LC ze względu na małe straty). A o sposobie z kluczowaniem to...

    Mikrokontrolery   14 Paź 2006 18:49 Odpowiedzi: 6    Wyświetleń: 3434