REKLAMA

licznik asynchroniczny reset

Znaleziono około 91 wyników dla: licznik asynchroniczny reset
  • Licznik modulo 73 na układach 7490 i 7493

    W jakim kodzie ma liczyć ten licznik? BCD czy binarnym? Bo jeżeli w BCD to z licznika 7490 najlepiej użyć 4 bitów, a on sam się wyzeruje po przekroczeniu stanu "9". Dzięki temu mamy już licznik cyfr jedności od "0" do "9". Wyjście QD tego licznika podłączamy do wejścia CLK licznika 7493, z którego używamy tylko 3 najmłodsze bity. Będzie to nasz licznik...

    Początkujący Elektronicy   20 Paź 2017 08:34 Odpowiedzi: 14    Wyświetleń: 2229
  • machxo2/verilog - nie działają połączenia wire między licznikami - początkujący

    Piotrze, a spróbuj jeszcze zamiast: always dać always (at)(*) Bo u mnie np. przy generacji schematu RTL wywala błąd E:/Alagner/Dokumenty/Lattice/liczniki/li... ERROR: (ST-6002) Always Block 'licznik_uniq_1.always' does not have a delay or sensitivity list, possible simulation hang. E:/Alagner/Dokumenty/Lattice/liczniki/li... ERROR:...

    Programowalne układy logiczne   30 Mar 2013 00:09 Odpowiedzi: 15    Wyświetleń: 2862
  • Multisim - Licznik asynchroniczny - złe 2 pierwsze liczby, resetowanie.

    Witam! Zbudowałem sobie z przerzutnika wyzwalanego zboczem narastający przerzutnik wyzwalany zboczem opadającym, oto jego schemat: Następnie z tych przerzutników zbudowałem licznik asynchroniczny liczący od 0 do 15, schemat: Zamieniłem go w taki symbol: Gdy przeprowadzę symulację to zapalają mi się diody: x1,x2,x3 następnie x0,x1,x2,x3 a potem licznik...

    Projektowanie Układów   05 Sty 2015 18:04 Odpowiedzi: 0    Wyświetleń: 2058
  • REKLAMA
  • Asynchroniczny licznik mod 100

    mam małe pytanko czy ten licznik można resetować. oraz czy ktoś nie ma schemaciku licznika liczącego od 0 do 99 dwie cyfry z dekoderami na 7 segmentowy wuświetlacz LCD z mozliwościa resetowania tego licznika

    Początkujący Elektronicy   20 Lis 2007 18:29 Odpowiedzi: 23    Wyświetleń: 10173
  • REKLAMA
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    Witam ponownie. Cos duzo tych zadan z cyfrowki dostales na Swieta :-). Licznik zliczajacy w naturalnym kodzie dwojkowym modulo 13 (zakladam, ze liczy w gore) robi sie bardzo prosto. "Modulo N" oznacza ilosc stanow licznika. Ma byc 13? Tzn najprosciej gdy zlicza od zera QDQCQBQA=0000 az do QDQCQBQA=1100 - w sumie jest wiec 13 stanow. Gdy pojawi sie stan...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 12134
  • Licznik asynchroniczny z możliwością przełączania

    Witam! Mam do zaprojektowania na pracownie licznik z możliwością przełączania. Dla sygnału sterującego S="0" układ ma działać jako licznik mod5, a dla S="1" jako mod7. Narysowałem tabele karno, ale nie potrafię narysować układu resetującego ten licznik. Z góry dziękuję za pomoc :-)

    Nauka Elektroniki, Teoria i Laborki   11 Mar 2010 08:57 Odpowiedzi: 3    Wyświetleń: 1975
  • Licznik asynchroniczny z ograniczeniem.

    Wstaw wyjście bramki AND na wejście D przerzutnika (D), podłącz jego wyjście Q do wejścia RESET licznika. Do CLK (przerzutnika D) doprowadź wejście swojego licznika. Kolejny impuls CLK (czyli tak jak chcesz) wyzeruje licznik. Mam nadzieję, że się nie pomyliłem - pisze z głowy.

    Projektowanie Układów   25 Lis 2014 09:56 Odpowiedzi: 12    Wyświetleń: 4142
  • Licznik asynchroniczny modulo 6/11 - błąd w schemacie czy efekt hazardu?

    Witam, mam zaprojektować licznik asynchroniczny modulo 6/11 (wybór zakresu ustawia się dodatkowym wejściem). Wykorzystać mam asynchroniczne wejście RESET (0 jako aktywny stan wejścia RESET). Sporządziłem tabelę prawdy: gdzie A steruje trybem pracy 6/11. Następnie na jej podstawie stworzyłem siatkę Karnaugh dla funkcji RESET. Zbudowałem układ w symulatorze....

    Projektowanie Układów   13 Lis 2013 06:45 Odpowiedzi: 4    Wyświetleń: 3444
  • Liczniki asynchroniczne /synchroniczne

    Musisz popatrzeć, co robi bramka przy licznikach. Jej zadaniem jest zebrać sygnały z odpowiednich wyjść i wygenerować reset w odpowiednim momencie, tzw skrócenie cyklu liczenia.

    Początkujący Elektronicy   16 Cze 2015 20:14 Odpowiedzi: 5    Wyświetleń: 870
  • REKLAMA
  • Jak prawidłowo zaprojektować licznik JK rewersyjny od 11?

    Skąd reset, chyba jasne: czas propagacji przerzutnika, dłuższy, niż pojedynczej bramki, powoduje, że przez moment na wyjściach a, b, c, d, po 8 pojawia się 15, co powoduje zerowanie trzeciego licznika. Nota bene: dwóch pierwszych przerzutników (a, b) nie musisz ustawiać. One, nawet ze skrótem liczą sobie 4-3-2-1-0-4, w niezakłóconym rytmie. Pytanie,...

    Nauka Elektroniki, Teoria i Laborki   12 Cze 2020 01:47 Odpowiedzi: 1    Wyświetleń: 573
  • REKLAMA
  • Licznik asynchroniczny na przerzutnikach D. Jak taki zrobić?

    Zbudować przerzutnik T (podłączyć wyjscie ~Q do wejścia D), 4 takie przerzutniki połączyć w łańcuch, wejście zegarowe następnego z wyjściem Q poprzedniego. Jeśli dobrze rozumiem to ma on zliczać do 9, czyli zrobić funkcje logiczną wykrywającą stan 1010 i podającą sygnał na reset. Można sobie to uprościć wykrywając tylko 1x1x ponieważ kombinacje bitów...

    Początkujący Elektronicy   16 Sty 2005 22:12 Odpowiedzi: 1    Wyświetleń: 1832
  • Licznik synchroniczny typu D

    Dobra, w takim układzie jak Twój stan wyjść w chwili t trafia poprzez układ kombinacyjny na wejścia w chwili t+1, czyli to co jest na wyjsciach determinuje co ma byc na wejściach. Q2-Q1-Q0 - D2-D1-D0 1-0-0 na wejscie ma trafic: 0-0-0 0-0-0 na wejscie ma trafic: 0-1-0 0-1-0 na wejscie ma trafic: 0-1-1 0-1-1 na wejscie ma trafic: 0-0-1 0-0-1 na wejscie...

    Początkujący Elektronicy   25 Lis 2015 12:29 Odpowiedzi: 9    Wyświetleń: 2670
  • Przerzutniki - D i JK. Budowa licznika asynchronicznego i rejestru.

    Witam! Jak stworzyć licznik mod 8 przy pomocy przerzutników JK oraz rejestr rejestr równoległo-szeregowy z przerzutników D to wiem ale odwrotnie to nie mam pojęcia. Przeczytaj jeszcze raz pytania czy dobrze je podałeś. Liczników mod x nie robi się przez dzielenie, tylko resetuje się je przy konkretnej liczbie. Licznik mod 8 liczy od 0 do 7 (3 bity)...

    Początkujący Elektronicy   30 Sty 2005 18:47 Odpowiedzi: 24    Wyświetleń: 8927
  • Licznik mod 4 asynchroniczny na JK i D

    PANOWIE !!! Licznik modulo 4 liczy: 0, 1, 2, 3, 0, 1, 2 , 3 itd. I wymaga tylko dwóch przerzutników !!! W obu przypadkach to są po dwa przerzutniki połączone szeregowo: Clock -> CK0 Q0 -> CK1 W przypadku przerzutników D - robimy sprzężenia: /Q0 -> D0 /Q1 -> D1 W przypadku JK podajemy jedynki na wejścia J i K W przypadku użycia układów TTL (LS, HC,HCT)...

    Początkujący Elektronicy   13 Cze 2005 23:22 Odpowiedzi: 7    Wyświetleń: 9569
  • licznik kasowany zboczem A a zwiekszany zboczem B

    Problem leży w tym że w Spartanach nie istnieją żadne zasoby (rejestry na których mozna zrobić licznik) które mają wiecej niż jedno wejście reagujące na zbocze. Możliwe rozwiązania: (założyłem że chodziło tobie o zwiększanie licznika sygnałem RAM_CLK a zerowanie sygnałem RAM_WR, bo Twój przykład jest jakby trochę z błędem, brak uzycia sygnału RAM_CLK)...

    Programowalne układy logiczne   12 Sie 2007 09:51 Odpowiedzi: 5    Wyświetleń: 1474
  • zapytanie o licznik asynchroniczny modulo 10

    Aż nie mogłem nie zwrócić uwagi: Wejście R0(1) resetuje pierwszy przerzutnik JK a R0(2) resetuje pozostałe. Gdzie ty na tym schemacie widzisz, że R01 je podłączone do 1-szego przerzutnika JK, a R02 do drugiego? R0(1) i R0(2) są wyprowadzeniami bramki NAND, podanie na tych wejściach '1' powoduje reset całego licznika. Pozdrawiam

    Początkujący Elektronicy   21 Maj 2009 17:59 Odpowiedzi: 11    Wyświetleń: 7638
  • Licznik asynchroniczny modulo

    Witam, od kilku dni staram się rozgryźć na czym polega projektowanie liczników jednak bez wyraźnych efektów, dlatego postanowiłem poprosic o pomoc. Zadanie polega na zaprojektowaniu licznika asynchronicznego modulo 18 z dwoma przeskokami. Do tego należy sporzadzic wykresy czasowe i narysować przerzutniki. Sety, resety oraz zbocza reagują tak jak zaprojektujemy....

    Nauka Elektroniki, Teoria i Laborki   02 Cze 2009 12:15 Odpowiedzi: 4    Wyświetleń: 10749
  • Licznik asynchroniczny modulo 36 liczący w kodzie BCD na przerzutnikach JK

    Witam, zrobiłem licznik asynchroniczny mod 36 na JK i do końca nie działa jak powinien. Otóż na początku liczy od 0 do 35 i się resetuje, ale tu zaczyna liczyć od 6 do 35 znowu się resetuje i po raz kolejny liczy od 6 do 35 i tak już w kółko. Poniżej schemat, czy mógłby ktoś poprawić, albo chociaż wytłumaczyć mój błąd?

    Początkujący Naprawy   16 Cze 2013 15:33 Odpowiedzi: 0    Wyświetleń: 2838
  • Licznik asynchroniczny modulo 7 metodą podziału liczby

    Witam. Założyłem temat, ponieważ nigdzie w internecie nie mogłem znaleźć odpowiedzi na to pytanie. Czy jest możliwe złożenie licznika asynchronicznego na przerzutnikach JK mod 7 opartego na metodzie podziału liczby tak aby liczył w górę albo w dół w kodzie dwójkowym? Bez używania resetu oraz bez dodatkowych bramek logicznych. Zależy mi na zdaniu ludzi...

    Początkujący Naprawy   05 Wrz 2013 11:38 Odpowiedzi: 0    Wyświetleń: 1491
  • Licznik asynchroniczny liczący w przód od 5 do 15 (przerzutniki JK)

    Mam problem z następującym zadaniem: Zaprojektuj licznik szeregowy liczący do przodu od 5 do 15. (JK) Narysowałem następujący schemat, który teoretycznie powinien liczyć od 5 do 15. Niemniej jednak, gdy licznik się już ustawi, to sekwencja liczenia wygląda następująco: 5-6-7-5-6-7 (5-6-7). Przy przejściu z 7 na 8 licznik resetuje się do początkowej...

    Początkujący Elektronicy   04 Maj 2015 20:37 Odpowiedzi: 1    Wyświetleń: 3798
  • Licznik modulo 204 metodą skracania cyklu liczników + zatrzask RS

    Przygotowując się do egzaminu z Techniki Cyfrowej, trafiłem na następujące zadanie: Zaprojektować asynchroniczny licznik modulo 204 w NKB metodą skracania zakresu, używając asynchronicznych liczników modulo 8 (liczących w NKB) i bramek logicznych. Wskazane liczniki składowe mają: asynchroniczne wejście zerujące aktywne poziomem wysokim i wejście zegarowe...

    Nauka Elektroniki, Teoria i Laborki   11 Sty 2022 18:22 Odpowiedzi: 4    Wyświetleń: 627
  • Licznik asynchroniczny modulo 4 zliczający w dół, przerzutniki D

    Skonsultowałem to z prowadzącym, po dokładniejszym przejrzeniu mojego zadania stwierdził, że jednak rzeczywiście nie potrzebuję nic podłączać do RESET-u. Także temat można zamknąć, ale i tak dzięki.

    Początkujący Elektronicy   14 Lut 2022 14:25 Odpowiedzi: 2    Wyświetleń: 819
  • Proszę o pomoc - Zadania: liczniki, przerzutniki

    To mój pierwszy post na tym forum i mam nadzieję, że nie łamie żadnych zasad publikując taki temat. Chciałbym równierz, abyście pomogli mi rozwiązać chociaż jedno z tych zadań. Z góry wielkie dzięki! 1. Zbudować, wykorzystując metodę resetowania, licznik asynchroniczny o pojemnosci 13 oparty o przerzutniki typu JK. 2. Zbudować, wykorzystując przerzutniki...

    Początkujący Elektronicy   26 Sty 2005 07:35 Odpowiedzi: 5    Wyświetleń: 4919
  • Sshemat Licznika MODULO 524

    Witam, Witam wszystkich Potrzebuje pomocy a mianowicie: Musze wykonać schemat w EWB licznika MODULO 524 - Czyli liczy od 0 do 523 Wykonuje go w taki sposób, że: - na samym początku bramka schmitta, - na każdą liczbę (czyli: jedności, dziesiatki, setki) przypadają 4 przerzutniki JK liczące do 16 - ale ograniczone aby liczyły do 9. - Jak jedności doliczą...

    Projektowanie Układów   13 Cze 2006 12:28 Odpowiedzi: 9    Wyświetleń: 2950
  • licznik asynchroniczny

    humm czyli skok powinien naprzykłąd być zrobiony na 14 przy ustawieniu wszystkiego na set ??? czy jak bo nie rozumiem?? Normalnie w liczniku rewersyjnym po stanie 0000 następuje stan 1111 (15) a Ty chcesz aby po stanie 0000 następował stan 1110 (14) czyli bo wykryciu stanu 0000 należy przerzutniki Q4 Q3 Q2 ustawić na reset a licznik Q1 na set.

    Początkujący Elektronicy   30 Mar 2008 20:40 Odpowiedzi: 4    Wyświetleń: 5738
  • licznik na GAL pytanie teoretyczne

    uscislijmy specyfikacje: uklad ma zliczac sygnal 'impulsy_do_zliczania' w gore, jesli aktywny jest sygnal 'licz_w_przod' i w dol, jesli aktywny jest sygnal 'licz_w_tyl'; co ma zrobic, jesli oba sygnaly kierunku liczenia sa aktywne ? jesli oba nieaktywne, to zapewne ma tez nie zliczac; po kazdym doliczeniu sie do 1000 ma sie uaktywnic kolejne wyjscie,...

    Programowalne układy logiczne   14 Kwi 2009 12:51 Odpowiedzi: 13    Wyświetleń: 2393
  • Licznik modulo 32 zliczający od 10, Jak zakończyć po imp. 20

    Zacznijmy od początku. Masz pięć przerzutników JK. Próbujesz zbudować licznik asynchroniczny liczący od 10 do 20. 21 to binarnie 10101 i dla takiej kombinacji stanów licznik musi zostać ustawiony na 10 czyli 01010. Na chłopski rozum bramka and 3 wejściowa, do każdego jej wejścia podłączasz co drugie wyjście przerzutników(0,2,4) i wyjście takiej brameczki...

    Początkujący Naprawy   12 Kwi 2010 18:16 Odpowiedzi: 4    Wyświetleń: 5581
  • Licznik mod50 na 40192 - reset asynchroniczny

    Witam. Jestem w trakcie budowy zegarka taktowanego z sieci elektrycznej i trafiłem na następujący problem: do podziału częstotliwości chciałbym użyć pary dekad 40192 w połączeniu kaskadowym. Dla pełnego cyklu

    Początkujący Naprawy   23 Maj 2011 18:59 Odpowiedzi: 15    Wyświetleń: 3497
  • Licznik asynchroniczny modulo 7/12

    ylko po co Tobie to wszystko, skoro wiesz jak 'zrobić' jeden i drugi licznik z licznika asynchronicznego liczącego do 12, czyli w technice TTL na układzie średniej integracji typu 7492, pytam retorycznie ... Do 12 to w/w liczy 'z urzędu', a do 7 to sobie pomyśl w jaki sposób jego "skrócić" - to są przecież podstawy Podstaw Techniki Cyfrowej ... Bez...

    Początkujący Elektronicy   25 Paź 2011 23:28 Odpowiedzi: 9    Wyświetleń: 7636
  • Arduino MEGA - Wielokanałowy licznik zdarzeń

    Jeżeli dobrze odczytuję Twoje dane, to impulsy wejściowe mają czas trwania ok. 100ns i może ich być ok. 2e5/s. Do tego chcesz mieć uruchomiony stos USB device (VCP/CDC?), aby komunikować się z hostem, więc jakiekolwiek programowe skanowanie i zliczanie wejść odpada, a zatem żadne Arduino nie ma wystarczającej liczby sprzętowych liczników do realizacji...

    Arduino   24 Wrz 2014 07:29 Odpowiedzi: 14    Wyświetleń: 1737
  • Licznik binarny czterobitowy UCY7493

    Najprościej zrobić asynchroniczny reset, kiedy licznik osiąga stan 12 a więc bramkę podłączasz do Qd i Qc

    Początkujący Elektronicy   15 Kwi 2018 15:03 Odpowiedzi: 1    Wyświetleń: 441
  • Licznik modulo n asynchroniczny

    Jak zajrzysz do danych układu 7490 to przekonasz się, że ma on dwa wejścia resetujące. Podłączasz je do wyjść o wagach 1 i 4. W efekcie po doliczeniu do 5 licznik ustawi się na 0. Licznik trzeba skonfigurować do pracy w kodzie BCD.

    Początkujący Elektronicy   05 Cze 2018 10:16 Odpowiedzi: 8    Wyświetleń: 1302
  • Jak zbudować licznik asynchroniczny działający w kodzie Aikena?

    Można tak, że układ w stanie 0-1-0-1 (5 z normalnego BCD) poda Set na pierwszy i trzeci bit, oraz Reset na drugi, powodując przeskok do 1-0-1-1 (5 Aikena). A można tak, że poza normalnym połączeniem jak w liczniku binarnym do 16 (4-bitowym) w stanie 4 poda się sygnał zegarowy na 2-gi i 3-ci przerzutnik. Przerzutniki stosowane w licznikach powinny zmieniać...

    Początkujący Elektronicy   16 Wrz 2019 11:24 Odpowiedzi: 13    Wyświetleń: 567
  • AHDL licznik mod 10 oraz konwerter NA 7 segmentowy

    Chodzi mi dokładnie oto/.../ ok, teraz jasne; robisz uklad 'licznik-dekoder' i implementujesz go 4 razy jak w przykladzie, ktory ci podalem wyzej; dodatkowo robisz 4 bitowy rejestr, ktory sluzy za 'count_enable'; po reset ten rejestr ma stan b"0001", czyli pozwala liczyc licznikowi pierwszemu; stan pierwszego licznika = 9 ustawia [synchronicznie] 'count_enable'...

    Programowalne układy logiczne   07 Mar 2008 12:43 Odpowiedzi: 22    Wyświetleń: 5267
  • Synchroniczny licznik mod 6, przerzutniki D - dodatkowe wejście RESET

    czyli po podłączeniu go cały układ dostaje '0' i taką też wyświetla wartość, po czym po "odłączeniu" wejścia reset, zaczyna liczyć od nowa, jednak dostałem informację (nie od profesora), że to nie o to chodzi i tu moje pierwsze pytanie: dlaczego i jak zrobić to poprawnie ? Trochę jakby nie do końca jasne są warunki zadania, jak dla mnie. Zdanie "Dodatkowe...

    Nauka Elektroniki, Teoria i Laborki   10 Sty 2018 21:01 Odpowiedzi: 2    Wyświetleń: 3315
  • asynchroniczny licznik programowalny, 8-bit, BIN

    Patrz temat: licznik 8 bitowy binarny!!! Odpadają więc liczniki dekadowe!!! Zatem: 256 możliwych liczb, co daje 8 "zworek" do ustawiania zadanej liczby, dwa układy 74193, jeśli ma zapalać diodę czy załączać cokolwiek na wyjściu przeniesienia lub pożyczki zatrzask (latch) np 7474, a jeśli licznik ma "kręcić się w kółko" ilość razy zadaną przez liczbę,...

    Początkujący Elektronicy   12 Lut 2007 21:42 Odpowiedzi: 20    Wyświetleń: 4115
  • Dzielnik czestot. + licznik w XC3s500E - problem

    Witam! Stworzyłem w VHDL'u prosty licznik i dzielnik częstotliwości. Licznik: [...] begin process(reset,enable,clock) begin if reset='1' then sCV <= "0000000000000000"; end if; if CLOCK='1' then if enable='1' then sCV<=sCV + 1; end if; end if; end process; dziwny jest ten twój licznik! to nie ma prawa działać poprawnie!!!...

    Programowalne układy logiczne   06 Lip 2007 07:56 Odpowiedzi: 4    Wyświetleń: 1695
  • Asynchroniczny licznik za pomocą tabeli przejść

    Ten układ nie ma prawa poprawnie działać. Powstają "hazardy" na skutek czasów propagacji a co najważniejsze po doliczeniu do 8 zatrzyma się i bez resetowania nie będzie dalej liczył. Poniżej schemat w którym są elementy opóźniające. Aby ich ilość zmniejszyć zastosowany jest układ szeregowy przeniesień. Robienie takich liczników mija się z celem. Albo...

    Początkujący Elektronicy   15 Lis 2009 05:35 Odpowiedzi: 8    Wyświetleń: 5249
  • Licznik modulo 53 - układ scalony 7493

    Tu masz rysunki wyjaśniające obie idee skracania cyklu licznika. Synchroniczny (CLK - przebieg wejściowy, A52 - asynchroniczne, kombinacyjne wykrycie stanu 52, RST - synchroniczny reset licznika na wyjściu przerzutnika D, stan - stan licznika, zliczona liczba): Asynchroniczny (CLK - przebieg wejściowy, A53 - asynchroniczne, kombinacyjne wykrycie stanu...

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4284
  • Licznik szeregowy modulo 13

    Cześć, próbuje zrobić licznik szeregowy modulo 13, liczący w górę z wykorzystaniem przerzutników D. Jednak po odpaleniu symulacji nie następuje nigdzie reset z 12 do 0 - po osiągnięciu 12 już nigdy nie zmienia się wartość. Tutaj są moje tablice. Kolumny są ponumerowane w ten sposób: DCBA, gdzie 7 = 0111 Mała poprawka, w kolumnie A jest: A'D' + A'C'D....

    Nauka Elektroniki, Teoria i Laborki   07 Gru 2016 22:11 Odpowiedzi: 0    Wyświetleń: 1800
  • asynchroniczny licznik modulo 5

    Przy modulo 5 trudno mówic o kodzie 8421 (raczej o naturalnym kodzie binarnym). Rozwiązanie: łączysz trzy przerzutniki "w szereg" tzn. wyjście pierwszego przerzutnika na wejście zegarowe drugiego i analogicznie wyjście drugiego na zegar trzeciego przerzutnika (dla JK sygnały J i K na "1" dla D wejście D na wyjście /Q). Masz układ licznika 3-bitowgo....

    Początkujący Elektronicy   05 Sty 2005 01:00 Odpowiedzi: 2    Wyświetleń: 14995
  • Resetowanie zegara na licznikach 4017

    Witam, przecież masz błąd w układzie zerowania (asynchronicznego impulso-potencjałowego) na zamieszczonym przez Ciebie schemacie, co widać z daleka. Dioda z wyjścia pin-2 nieciągle utrzymuje potencjał "0 (jak na nim taki występuje) na wejściach zerujących pin-15 i wtedy licznik nie zlicza, ponieważ masz Pull-Up do plusa zasilania (utrzymywanie "1")...

    Początkujący Elektronicy   31 Lip 2007 14:36 Odpowiedzi: 5    Wyświetleń: 1824
  • Opis licznika 4029

    Obawiam sie ze licznik ten ma jedna poważną wadę. Występuje ona przy zastosowaniu licznika do liczenia dwukierunkowo. W programie symulacyjnym EWB i z datasheetow by wynikało ze przy przejściu z 8 na 9 wyjście przeniesienia jest ustawiane w stan 0 czyli przy zastosowaniu kaskadowym jak liczy sie monotonicznie to jest wszystko ok 8 9 ustawia sie przeniesienie...

    Artykuły   10 Lis 2007 11:31 Odpowiedzi: 6    Wyświetleń: 9994
  • Licznik mod 10 na przerzutnikach JK w multisim

    Najgorsze jest to, że gdy podawany jest pierwszy sygnał 1 wszystkie wyjścia licznika ustawiane są w stan wysoki, co uniemożliwia resetowanie do w odpowiednim momencie. Na moje jest to - na pokazanym schemacie - niemożliwe. Przerzutnik - M-S - typu J-K kiedy te połączone są do "1" staje się przerzutnikiem asynchronicznym przerzutnikiem typu T - dwójką...

    Początkujący Naprawy   15 Mar 2013 09:29 Odpowiedzi: 12    Wyświetleń: 10398
  • Licznik synchroniczny z przerzutników D

    Tak, trzeba dodać układ resetu, który po podaniu zasilania asynchronicznie ustawi licznik w stan 07.

    Projektowanie Układów   16 Lis 2014 11:10 Odpowiedzi: 4    Wyświetleń: 1482
  • Jak wykonać reset synchroniczny licznika Mod 13?

    Witajcie. Stworzyłem licznik synchroniczny modulo 16 w oparciu o przerzutniki D. Teraz moje zadanie polega na przerobieniu go na licznik mod 13 za pomocą zerowania synchronicznego . Jak zrobić za pomocą zerowania asynchronicznego to wiem. Ale w jaki sposób mam to wykonać zerowaniem synchronicznym? Rozumiem, że mam wykryć stan N-1 ale nie wiem z czym...

    Nauka Elektroniki, Teoria i Laborki   11 Lut 2019 10:52 Odpowiedzi: 3    Wyświetleń: 780
  • Licznik synchroniczny liczący od 10 do 40 na układzie 74193

    [url=]Link - tu są opisy '192 i '193; pierwszy jest dziesiętny (0-9), drugi binarny (0-15), oba synchroniczne, zmiana stanu "w górę" na zboczu L->H CPU, "w dół" na L->H CPD; przy stanie 0 stan niski CPD powoduje stan niski TCD, przy maksymalnym (9/15) stan niski CPU - stan niski TCU, i tym należy sterować następny licznik (TCU->CPU, TCD->CPD); pojedynczy...

    Początkujący Elektronicy   26 Kwi 2020 19:16 Odpowiedzi: 25    Wyświetleń: 1521
  • Verilog - transkoder BIN -> BCD

    UNIKAJ X , jak w symulacji pojawi się gdziekolwiek, to opis układu jest d* warty.

    Programowalne układy logiczne   08 Maj 2011 10:51 Odpowiedzi: 16    Wyświetleń: 3676
  • Dzielnik częstotliwości w VHDL-u

    Tak się złożyło, że siedzę ostatnio troche nad pewnym projektem w VHDL-u i mam problem z ustaleniem gdzie jest bład w kodzie. Ogólnie soft wydaje się poprawny, poszczególne bloki jak licznik i mux działają (testowane oddzielnie) ale komparatory nie wystawiają deklarowanych stanów logicznych (na wszystkich 3 występuje identyczny podział). A więc w czym...

    Mikrokontrolery   26 Sty 2005 20:53 Odpowiedzi: 3    Wyświetleń: 7014
  • przekazywanie wartości między modulami z VERILOG

    Jeśli to ma być struktura syntezowalna to nie może być tam polecenia initial. Ogólnie wartości początkowe możesz ustawiać asynchronicznym resetem. Proces wówczas powinien tak wyglądać: always (at)(posedge clk or negedge rst) //reakcja na narastające zbocze begin //zegara lub niski stan rst if (~rst) licznik = 16'b0; else begin licznik...

    Programowalne układy logiczne   04 Cze 2007 14:35 Odpowiedzi: 8    Wyświetleń: 2678
  • Uzywanie zmiennych i malejaca czestotliwosc maksymalna

    zarowno vhdl jak i ise to nie moja bajka, ale moze przydadza ci sie takie ogolne uwagi: hmmm... spotykalem sie z opiniami aby unikac asynchronicznych sygnalow, ale reseta raczej pozwalano mi zostawic taki globalny reset to jakis 'guzik', ktory ma ustawic cala logike w dobrze zdefiniowany stan poczatkowy; naciskasz reset, F-F sa zerowane, zwalniasz reset;...

    Programowalne układy logiczne   26 Paź 2007 18:39 Odpowiedzi: 30    Wyświetleń: 3303
  • Co to jest za układ i jakie zastosowania spełnia?

    Według mnie tak jak to zostało wspomniane, jest to licznik binarny mod.5. Jeśli moje rozumowanie jest złe, proszę o korektę. Załączam obrazek który pokazuje jak według mnie idą pierwsze pięć sygnałów i jakie stany przyjmują na bramce AND i OR. Pierwsze pięć stanów na wyjściach układu 74393: W takim razie na bramce AND i dla jej wejść czyli C i A cały...

    Nauka Elektroniki, Teoria i Laborki   09 Lut 2018 17:54 Odpowiedzi: 9    Wyświetleń: 1362
  • Analiza dwóch niezależnych przebiegów prostokątnych

    Witam! W wykonywanym projekcie zapędziłem w pewien logiczny róg. Projekt jest wykonywany na rodzinie AVR, ale to ma mniejsze znaczenie. Problem jest następujący, muszę zliczać maksima dwóch niezależnych przebiegów sinusoidalnych (pochodzącego z enkoderów optycznych - elementy myszy komputerowej z lat 90) o częstotliwości maksymalnej 5kHz. Formowanie...

    Mikrokontrolery   04 Sty 2012 15:55 Odpowiedzi: 3    Wyświetleń: 1064
  • Czy istnieje coś takiego jak modulo 60?

    Pozostaje jeszcze zwykły licznik np. 8 bitowy i komparator, jak licznik doliczy do 59 to komparator (musi być na tyle ustawiony) resetuje licznik z wejścia asynchronicznego. tu miales na mysli chyba komparator na 60 a nie na 59. Chodzi o licznik modulo 60 a nie 59. W sumie racja bo się będzie kasowało asynchronicznie...

    Mikrokontrolery   06 Wrz 2006 19:20 Odpowiedzi: 5    Wyświetleń: 2642
  • Potrzebne kilka scalaków. Które są najlepsze?

    Witam! Potrzebuję kilka scalaków, dlatego proszę o doradzenie które Waszym zdaniem są najlepsze: - asynchroniczny licznik 8-bitowy z możliwością resetowania - układ FPGA lub podobny o minimum 8 wejściach i 8 wyjściach - scalak z bramkami NAND - równoległy komparator 8-bitowy - uniwibrator - BCD -> kod 7-segmentowy, moze byc razem z wyswietlaczem - rejestr...

    Elementy Elektroniczne - zamienniki i identyfikacja   25 Paź 2006 13:25 Odpowiedzi: 2    Wyświetleń: 1041
  • <VHDL> Integer jak zapisać/zmienieć?

    Witam. Posiadam krótki program w języku VHDL. Potrzebuję zmienić w nim typ licznika z STD_LOGIC_VECTOR na typ Integer(0-15) i trochę utknąłem wiem że liczby Integer trzeba zapisać dziesiętnie i w apostrofie oraz ze w architekturze muszę zmienić signal stan: std_logic_vector (3 downto 0); na signal stan: INTEGER RANGE 0 TO 15; Na co jeszcze muszę zwrócić...

    Programowalne układy logiczne   06 Lis 2011 19:39 Odpowiedzi: 4    Wyświetleń: 1399
  • verilog - SPI z automatycznym zatrzaskiem

    jesli sc na N cykli, potem je zamyka i odczytuje wartosc na swoim wejsciu data Hmm, wydawalo mi sie, ze zastosowalem tylko sugestie dot. zbocza opadajacego. Czy móglbys prosze wyjasnic mi te róznice? wersja 1: always (at)( posedge sck) /.../ if(bitcount== N-1 ) data = tmp_data; wersja 2: always (at)( negedge sck) /.../ if(bitcount == (N) ) data <=...

    Programowalne układy logiczne   24 Cze 2013 13:51 Odpowiedzi: 10    Wyświetleń: 2973
  • Załącz / wyłącz w ciagu 5 sek

    Poszukaj w Google "licznik asynchroniczny". Jeśli chcesz ograniczenia na 5 sekund, to dodatkowo przerzutnik monostabilny wyzwalany pierwszym impulsem i resetujący licznik po określonym czasie. Na wyjściu przerzutnik albo licznik do dwóch żeby włączać/wyłączać układ wykonawczy.

    Początkujący Naprawy   18 Lut 2014 22:53 Odpowiedzi: 7    Wyświetleń: 1035
  • Dlaczego VHDL (EPM240T100) jest lepsze od rysowania schematów?

    To układ potrzebuje aż 32 elementów Czy ktoś wie z czego może wynikać aż tak duża różnica? pewnie w logu syntezy jest informacja co zostało wyoptymalizowane i dlaczego; w pierwszym przypadku CD4017_wyjscie <= (others => '0') rejestr CD4017_wyjscie jest zawsze "0000" wiec kompilator go usunal i cala logikę, która od niego zależy, w drugim rejestr...

    Programowalne układy logiczne   18 Paź 2020 20:06 Odpowiedzi: 19    Wyświetleń: 1197
  • Płytka testowa AVR Atmega16/32

    Bardzo fajna płytka testowa. Jednakże kwarc powinien być wymienny, zwłaszcza jeśli ma się testować programy. Wyjście 1WIRE i gniazdo ARK do podłączenia urządzeń zamiast dedykować je do konkretnego układu. Wiem, że bardzo rzadko się z tego korzysta, ale powinno być wyprowadzony jeszcze PIN R/W od wyświetlacza. Co do RTC, pamięci EEPROM, czy karty pamięci...

    DIY Konstrukcje   23 Lut 2012 20:42 Odpowiedzi: 24    Wyświetleń: 16483
  • kod - Prośba o sprawdzenie kodu vhdl

    Uklad generuje 8 razy wiekszy czas od wejscia kluczujacego ok 125 ms niskim stanem /.../ jak ustala sie wpisy w rejestrach tim1-output ma 8*enable to, co ja widze w kodzie: w rejestrze data jest zmierzona dlugosc wysokiego poziomu wejscia enable ; w rejestrze data_obr_min jest wartosc data podzielona przez 8; licznik rejestr odmierza czas, w ktorym...

    Programowalne układy logiczne   29 Sie 2014 13:55 Odpowiedzi: 9    Wyświetleń: 2589
  • Zegar nixie na CD4017 - przeróbka z 12 na 24 godziny.

    Niestety są kolejne problemy. Te dwa kondensatory 100nF znacznie poprawiły stabilność, ale wciąż zdarza się, że po uruchomieniu licznik dziesiątek godzin nie wyświetla nic, albo godziny zerują się po 13... albo po wyzerowaniu licznik dziesiątek godzin wyświetla jednocześnie zero i dwa. Wyświetlacze nadal potrafią zgłupieć... Najczęściej zdarza się,...

    Projektowanie Układów   06 Cze 2018 04:15 Odpowiedzi: 45    Wyświetleń: 4491
  • AVR i Linuks

    piotr_go dzięki za dobre chęci ale niestety dalej nic. Skorzystałem z Twojej podpowiedzi z drukarką i nawet odinstalowałem cupsys (przy okazji nie wiem dlaczego ale wysypał się xserver i dlatego dopiero teraz odpowiadam) i nic nie pomogło. Zrobiłem jeszcze kilka prób: - wylączyłem komputer - zegar działa, zalączyłem na nowo, zegar się resetuje ( w...

    Mikrokontrolery   16 Sie 2008 09:36 Odpowiedzi: 15    Wyświetleń: 2298
  • Jeden układ ale na dwóch schematach. ISE WebPack.

    Najgorsze jest to że mam wiele wersji tego kodu. na początek przedostatnia wersja przetestowana w realu już wielokrotnie. ----------------------------------------... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Wszystko is Port ( Master_CLK : in STD_LOGIC;...

    Programowalne układy logiczne   09 Kwi 2009 14:26 Odpowiedzi: 15    Wyświetleń: 2017
  • System scalający wideo z dwóch kamer w celu uzyskania obrazu 3D

    Wstęp Systemy wideo, niesamowicie popularne w urządzeniach na rynku konsumenckim, zdobywają coraz większe uznanie w urządzeniach użytkowanych w aplikacjach przemysłowych, na rynku motoryzacyjnym i w systemach automatyki przemysłowej. Wzrost udziału tych systemów w wymienionych aplikacjach związany jest mocno z wprowadzeniem standardów HDMI oraz z zwiększoną...

    Artykuły   07 Maj 2014 13:25 Odpowiedzi: 2    Wyświetleń: 6987
  • 10 technik, które pozwolą na stworzenie niezawodnego układu z FPGA (część 1)

    Wszyscy chcemy, aby nasze układy FPGA były niezawodne w swoim docelowym środowisku pracy. Szczególnie, jeśli układ taki pracuje w krytycznej dla bezpieczeństwa sekcji urządzenia. W takich aplikacjach musimy przyjrzeć się bliżej nie tylko całej architekturze zakodowanej w FPGA, ale także szczegółom realizacji tej architektury i detalom podczas projektowania...

    Artykuły   07 Wrz 2016 11:59 Odpowiedzi: 0    Wyświetleń: 2007
  • moduł 2 kanałowy PWM na układach 74150

    Witam Jakiś czas temu przeglądając układy w szufladzie znalazłem 74150N. Może nawet z CEMI. Układy pochodzą z czasów kiedy byłem jeszcze uczącym się głąbem, składającym proste układziki. Np zasilacz na LM, jakieś diody LED na rejestrach itp. Miał to być jakiś selektor do syntezy częstotliwości. Pomijając że generalnie idea i tak pewnie by nie działała,...

    DIY Konstrukcje   26 Wrz 2014 19:33 Odpowiedzi: 2    Wyświetleń: 9324
  • [cpdl][vhdl] Probkowanie sygnalow na zboczu opadajacym

    Normalnie bym tego tak nie próbował zrobić, ale zachęcił mnie DualEdge CoolRunnera. Taki kod na przykład chodzi (licznik jest inkrementowany na obu zboczach): P2: Process(clk,nRST, output) begin if (nRST = '0') then output <= (others => '0'); elsif (clk'event) then output <= output +1; end if; end process...

    Programowalne układy logiczne   26 Lis 2008 18:48 Odpowiedzi: 8    Wyświetleń: 2067
  • Komputer - zawiesza się podczas pracy

    HD Sentinel pokazał takie rzeczy: Informacje systemowe ---------------------- Wersja Windows . . . . . . . . . . . . . . . . . : Windows 7 Home Premium Personal Typ CPU & Szybkość #1 . . . . . . . . . . . . . : AMD Athlon(tm) II X2 255 Processor, 3113 MHz Typ CPU & Szybkość #2 . . . . . . . . . . . . . : AMD Athlon(tm) II X2 255 Processor, 3113 MHz...

    Komputery Hardware   18 Lis 2014 02:23 Odpowiedzi: 6    Wyświetleń: 1626
  • Ciekawostki o 8-bitowych mikrokontrolerach AVR

    Od kilku lat programuję 8-bitowe mikrokontrolery AVR i przez ten czas zdobyłem trochę wiedzy na ich temat. Poniżej znajduje się kilka ciekawostek o tych układach. Jeśli znacie jakieś inne interesujące informacje, to piszcie. Najnowsza wersja artykułu jest również dostępna na mojej stronie: 1. Rozpoznawanie wersji (rewizji) Wersja (rewizja) mikrokontrolera...

    Artykuły   01 Kwi 2020 10:19 Odpowiedzi: 44    Wyświetleń: 10290
  • Modulo 10 od tyłu. Jak to można zrobić?

    Witam! Przepraszam, że tak późno - dopiero dziś kombinowałem i rysowałem. Tu masz asynchroniczny, jak chciałeś na JK i NAND-ach. "Dedykowany" pod 7473 itp. Wyjściami licznika są wyjścia proste: A, B, C, D. Pamiętaj, że 7473 (i inne JK_Master Slave) zatrzaskuje stan wejść przy dodatnim zboczu, a wyjścia zmienia przy ujemnym (musi wystąpić pełny impuls...

    Początkujący Serwisanci   05 Mar 2006 12:54 Odpowiedzi: 10    Wyświetleń: 3690
  • Układ Start - Stop do stopera równi pochyłej.

    1. Na liczniki wchodzą trzy przełączane częstotliwości. 1kHZ, 100Hz i 10Hz. Wychodzą z układu 7490 lub 74LS90 i wchodzą przez przełącznik na CD4026, na pin pierwszy (CLK). Czy dawać pullup'a 4k7? Jeśli tak to chyba za przyciskiem, a nie przed, tak? 2.Wyświetlacze są trzy, czyli CD4026 też trzy. Linie resetu trzeba pociągnąć do wszystkich trzech a czy...

    Projektowanie Układów   04 Maj 2016 06:37 Odpowiedzi: 51    Wyświetleń: 4098
  • Quartus II i problem w symulacji CPLD

    nie znam się co prawda na Verilogu, ale kolega J.A miał na myśli (a może się myle :) że proces albo jest asynchroniczny albo synchroniczny, tzn jeśli na liście czułości znajduje się 'clk' to nie ma prawa tam być nic innego, stąd przypuszczam że będzie to wyglądać mniej więcej tak: always (at) (posedge clk) begin if (reset) count <=...

    Programowalne układy logiczne   08 Maj 2008 14:44 Odpowiedzi: 8    Wyświetleń: 2823
  • ATmega32 datasheeet PL - instrukcja w pdf

    Atmega128 zapewnia następujące elementy: 128K bajtów wewnętrznej programowalnej pamięci typu FLASH z dopasowaniem odczytu podczas zapisu, 4K bajty EEPROM, 4K bajty SRAM, 53 linie wejścia/wyjścia, 32 robocze rejestry, Licznik rzeczywistego czasu(RTC), cztery liczniki z trybami porównywania i PWM, dwa USART, bajtów zorientowany dwu-kablowy równoległy...

    Mikrokontrolery AVR   19 Mar 2010 10:18 Odpowiedzi: 12    Wyświetleń: 16748
  • mikrokontroler odporny na zaklocenia

    Freddie!! to moze byc idea! oczywiscie nie dalem kondensatora na resecie, (linia ma wew. podciąg do VCC) wspawam kondensator i sprawdze czy pomoże... Jezeli chodzi o zasilanie, to dalem liniowy stabilizator z uwagi na male obciążenie, przed stabilizatorem na +28V kondensator 470uF +100nF i dioda 1N4007 A Iskra?? Panie ze 3cm bedzie...:)) hmm nie pisalem...

    Mikrokontrolery   07 Sty 2010 13:22 Odpowiedzi: 17    Wyświetleń: 3842
  • Bootloader AVR - "TOMLOADER"

    tak wyglądają błędy podczas kompilacji tu natomiast wklejam kod który pozmieniałem, a nie wiem czy dobrze ; Prosty program boot loader-a dla interfejsu RS-232 ; Rafał Baranowski (C) 2004 //**************************************... /* * Modyfikacja programu bootloadera: * inż. Tomasz Sklenarski * e-mail:...

    DIY Konstrukcje   14 Maj 2012 07:04 Odpowiedzi: 97    Wyświetleń: 27660
  • ATmega 8L USART - problem z odczytem danych.

    Witam! Zrobiłem sobie układ oparty o uP ATmega 8L (taktowany wew 1MHz). Procesor ma wysyłać i odbierć dane po łączu szeregowym USART. O ile z wysyłaniem nie ma problemu (zawsze wysyłane są jednorazowo 2 bajty) o tyle sprawa komplikuje się przy odbiorze danych. Dane "dochodzące" do uP nie mają stałej długości (czasami są to 4 bajty, czasami 10 itd)....

    Mikrokontrolery   16 Paź 2004 05:23 Odpowiedzi: 8    Wyświetleń: 2561
  • Generator impulsów o zmiennej częstotliwości

    Witam 1. licznik zamiast zliczać do 4 będzie wytwarzał krótkie szpilki ponieważ wyjście Q2 jest połączone z wejściami Reset obu przerzutników 2.multiplekser powinien mieć stan 0 na wejściu INHIBIT pin 6 ,który na schemacie wisi w powietrzu To są błędy uniemożliwiające działanie tego układu a dobrze byłoby jeszcze połączyć z masą niewykorzystane wejścia...

    Projektowanie Układów   14 Cze 2008 18:06 Odpowiedzi: 11    Wyświetleń: 4382
  • [ATMEGA128] Timer1 w trybie CTC

    Działa. Pewnie o czymś zapomniałeś na wstępie. Mój działający kod: .include "m128def.inc" .cseg .org0x0000 rjmpreset ;skok po resecie systemu .org0x0018 rjmpdata ;skok po wykryciu zgodności reset: ldi r16, high(RAMEND) out SPH, r16 ldi r16, low(RAMEND) out SPL, r16 rcallKONFIGURACJA_TIMER1 sei loop: nop rjmploop ;......

    Mikrokontrolery AVR   06 Lip 2008 08:32 Odpowiedzi: 23    Wyświetleń: 4079
  • [ATMEGA16/32][C] Timer2 odmierzanie czasu

    łał :) Super opis - co prawda wszystkiego się domyślałem i upewniałem przy próbach uruchomienia. A jak wygląda dokładniej ta praca asynchroniczna - technicznie wiem tylko programowo jak to obsluzyc. Drugi obecnie problem to wieszanie się / resetowanie mojego programu. Troszkę go zmodyfikowałem obecnie ma on postać: // Testowanie timera 2 #define F_CPU...

    Mikrokontrolery AVR   21 Paź 2008 20:20 Odpowiedzi: 5    Wyświetleń: 4277
  • Visual C# 2010 - Oczekiwanie na zakończenie funkcji

    Witam. W programie mam 2 obiekty: button1 i textBox1. Przez naciśniecie przycisku wywołuję funkcję. [syntax=csharp] private void button1_Click(object sender, EventArgs e) { stan = 0; guzik=1; wyslijPing(); textBox1.Text += "wartosc zwrócona" + stan; }[/syntax] Problem jest taki że chciałbym aby zdarzenie Click zmieniło stan = 0; nastepnie guzik=1, nastepnie...

    Programowanie   22 Sie 2012 09:20 Odpowiedzi: 14    Wyświetleń: 1806
  • Watomierz, woltomierz, amperomierz, miernik współczynnika mocy, TrueRMS na ADE77

    Sposoby na oszczędzanie energii to jeden z naszych codziennych problemów. Żeby stworzyć sobie obraz dotyczący potencjału oszczędzania energii, a tym samym ekonomicznego wydawania środków, przede wszystkim należy określić ile energii będzie zużywać dane rozwiązanie. W warunkach domowych oznacza to, że należy wiedzieć ile dane urządzenie zużywa energii...

    DIY Zagranica   24 Lis 2012 23:59 Odpowiedzi: 6    Wyświetleń: 21423
  • BTC/ZL2AVR - 4 wyświetlacze 7 segmentowe

    Sterowanie matrycowe (74HC164 - rejest SIPO + 4022 - licznik pierścieniowy) wymaga 4-ch wyjść, 2 scalaków i 4-ch tranzystorów (bo 4022 daje za mały prąd wyjściowy), daje świecenie cyfr na zmianę - przesyłamy dane do cyfry 1, to są 24 operacje (dane, zegar H, zegar L, i tak 8 razy), potem włączamy cyfrę 1 (zegar H, zegar L), chwilę czekamy, wyłączamy...

    Mikrokontrolery AVR   19 Lip 2013 21:14 Odpowiedzi: 48    Wyświetleń: 6510
  • Sterownik silnika PMSM na STM32F4

    Ważna jest znajomość położenia wektora strumienia wirnika czy stojana (w zależności od modyfikacji), stąd niezbędna jest estymacja strumienia. Nigdy sie nie zaglebialem w temat silnikow asynchronicznych ale wyglada na to ze przerobienie mojego projektu na silniki indukcyjne powinno byc proste. Wystarczy tylko dodac pare bloczkow w simulinku. Używasz...

    DIY Konstrukcje   26 Lip 2016 13:56 Odpowiedzi: 30    Wyświetleń: 21615
  • Moduł dekodera sygnału z bezprzewodowego czujnika temperatury

    Mając kilka wolnych chwil, postanowiłem skonstruować odbiornik do bezprzewodowego czujnika stacji pogodowej Oregon Scientific RMR203HG. Stacje tej marki są ogólnie dostępne, jeśli chodzi o wrażenia z użytkowania – działa bez zarzutu. Mój model wyposażony jest w pomiar temperatury i wilgotności wewnętrznej, pomiar tych samych parametrów z max...

    DIY Konstrukcje   25 Mar 2016 22:02 Odpowiedzi: 10    Wyświetleń: 10524
  • Bezprzewodowy termometr do pieca C.O. BASCOM 433MHz z zapisem danych na kartę SD

    jeszcze nie tak szybko z tą publikacją gdyż teraz mam drobny problem z odbiornikiem. Niby działa ale po pierwsze ta adaptacyjna zmiana podświetlenia powinna być płynna? U mnie praktycznie albo świeci na maxa albo lekko, tzn są tylko dwa stopnie świecenia + wyłączony. To jeszcze nie tak źle, bo gorszy jest alarm. Próbuję rozwikłać jak działa to w programie...

    DIY Konstrukcje   21 Lip 2018 13:17 Odpowiedzi: 124    Wyświetleń: 49866
  • Domowa bramka sieci LoRa i system czujników.

    System monitorowania środowiska, oparty o interfejs LoRa IoT składa się z stacji bazowej zbudowanej z wykorzystaniem modułu Arduino Mega z bramką LoRa oraz zdalnych stacji opartych na Arduino Feather, które łączą się z stacją bazową poprzez radiowy interfejs LoRa. System pozwala na monitorowanie parametrów środowiskowych w domu i jego bezpośrednim...

    DIY Zagranica   09 Cze 2017 19:42 Odpowiedzi: 6    Wyświetleń: 21369
  • Framework AVR GCC do tablicowego sterowania pinami Atmegi jak w Arduino

    (...) odczytu temperatury. Jaki zaproponowałbyś kod (AVR GCC), aby nie trzeba było używać delay'a (może pooling lub maszynę stanu) ? Przy okazji przedstaw optymalną (bez zmiennoprzecinkowych liczb) konwersję danych ze scratpad'a na stopnie (może być złożeniem liczb całkowitych jako stopnie i części ułamkowe). Do obsługi termometrów DS18B20 bez blokowania...

    Mikrokontrolery Początkujący   07 Lut 2024 13:34 Odpowiedzi: 71    Wyświetleń: 798
  • tablice Karnaugha dla przeżutników

    Należałoby uściślić nieco, skoro traktujemy go jako automat i powiedzieć, że ma 14 stanów wyjść albo np. zawartości : 0..13. Przy zawartości 14 następuje reset, czyli 13 jeszcze ma być. Stanów wewnętrznych jako automat być może ma mniej, nie zastanawiałem się. Dodano po 50 Tak sobie teraz skojarzyłem, że choć jest to licznik synchroniczny, to jego automat...

    Początkujący Elektronicy   19 Mar 2005 21:32 Odpowiedzi: 17    Wyświetleń: 4194
  • Zegar dwunastodiodowy w oparciu o ATmega8 i DS1307

    Uprzejmie dziękuję kolegom za odpowiedzi. Przeanalizowałem temat, mam dodatkowe pytania: 1. W procku np. Tiny2313 nie ma nóg opisanych jako TOSC a zatem nie ma i możliwości asynchronicznego taktowania licznika (poprawcie mnie jeśli się mylę) Czy można zatem (zakładając że ktoś na chama chce taki procek mieć jako zegarek) zbudować sobie samemu taki oscylator...

    DIY Konstrukcje   05 Sie 2015 22:29 Odpowiedzi: 21    Wyświetleń: 3909
  • Przerzutniki i układy pamiętające

    Mam dość pewnie banalne, ale nurtującę mnie pytanie. Załóżmy że mamy asynchroniczny przezrzutnik RS w scalaku np CMOS i wysterujemy na nim Stan wysoki, a po odłączeniu zasilania i ponownym załączeniu podamy na wejścia sterujące R=0 S=0 (na RS zbudowanym z bramek NOR). Co się stanie układ powróci do stanu niskiego, pozostanie w wysokim czy może stan...

    Początkujący Elektronicy   18 Gru 2016 17:35 Odpowiedzi: 6    Wyświetleń: 465