http://obrazki.elektroda.pl/3850714800_1... Będąc na emeryturze powróciłem do tematu FLL...stabilizacji częstotliwości. Ok 25 lat temu wykonałem w/g P.Janeczka na TTL, ale topornie to chodziło raster 500Hz. Około 20 lat temu trafił mi się układ/schemat w/g UR5EQF... to była kopia holenderskiego nadawcy. Okazało się że ten schemat też...
W takim razie jak to rozwiązać? Maksymalna częstotliwość układu LC będzie wynosić ~50MHz, Przecież piszę ci że komparatory LM311 i LM339 nawet nie zbliżą sie do tej częstotliwości, skąd ją wziąłeś? Jeżeli faktycznie będzie 50MHz to dzielnik jest potrzebny 50MHz/8=6,25MHz pomierzysz Atmegą.
Witam, Zakupiłem sobie płytkę testową opartą na powyższym układzie. Zaprojektowałem sobie dzielnik częstotliwości z którego wyjście oglądam. Przy częstotliwościach niskich do kilku kHz na wyjściu wszystko jest dobrze i otrzymuje dobre zbocza tak przy częstotliwościach od kilkunastu kHz w górę amplituda syngnału spada i nie przypomina przebiegu prostokątnego....
Trochę jak Arduino ale profesjonalnie. Arduino profesjonalnie to HAL dla ARM :-) Problemem autora nie jest PIC czy AVR, za którego się zabierał wcześniej, tylko pomiar częstotliwości (przypomnę link https://www.elektroda.pl/rtvforum/topic3... Wystarczy opisać jak zmierzyć częstotliwość (praktycznie tak samo jak na AVR , ARM czy innym uC)...
Tak, ale jak sobie z tym poradzić mając zegar 100MHz o wypełnieniu 50:50. Bo w takim przypadku półokres wynosi 5ns... i nie da się uzyskać czasów typu 8ns czy 2ns. Ok, tu masz rację, spróbowałem prześledzić drogę sygnału WE (i zarazem OE) do pamięci i wydaje mi się że on jest generowany w module "sampler" który jest taktowany sygnałem clock (który...
Pomyliłem się : 10000= F1/(F1-F2) W poniższym patencie jest opisane jak dzielić częstotliwość zegara dowolnym współczynnikiem Dodano po 6 Jeśli jedna częstotliwość jest 50MHz, to druga 49,99MHz czyli minimalnie mniejsza. Pozostała logika jest taka sama dla obu zegarów. Ten scalak ICS8430BI-71 (firmy ITD) sporo kosztuje (15$) x 2 plus wysyłka (pewnie...
Autor pisał o 50 MHz, co nie jest wyczynem. Kaskadowo dwa liczniki 7490 z podziałem po 10 i jeden albo licznik albo przerzutnik z podziałem na dwa. Razem będzie 10*10*2=200. W sumie jak potrzeba to dzielić jeszcze przez 5, to trzeba dorzucić trzeci licznik liczący do 5 lub do 2. W sumie jak kolega nie ogarnie 7490 to jest taki wygodny w użyciu 74192....
Niestety dalsza walka z VHDL. Otóż: muszę zrobić dzielnik częstotliwości z 250MHz na 125 MHz, 50 MHz, 25 MHz. Podzielniki więc muszą być w zbiorze 1,2,3,4,5...n-1,n. Kod na dzielnik który jest powszechnie spotykany nie ma mozliwości zrobić takiej podziałki ze względu na to że reaguje jedynie na zbocza narastajace sygnału zegarowego(dzieli więc wstępnie...
Cześć, Dopiero się uczę VHDL i mam do zrobienia zadanie http://www.ue.eti.pg.gda.pl/fpgalab/zada... Nie wiem czy dobrze. Proszę o pomoc przy korekcie jak coś jest nie tak. --########## DZIELNIK CZĘSTOTLIWOŚCI ########################################... library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all;...
http://obrazki.elektroda.pl/2558089500_1... Częstościomierz został zbudowany w oparciu o mikrokontroler PIC16F876A. Podstawowy układ mierzy częstotliwości do 180 MHz. Dodany preskaler dzielący przez 64 pozwala na uzyskanie pomiarów do około 4,5 GHz. Wyniki pomiarów prezentowane są na wyświetlaczu LCD 16x2. Miernik posiada 3 wejścia:...
Możesz wykorzystać 74F393 lub 74VHC393 (jedną połówkę) w obudowie SO-14. Obydwa układy pracują powyżej 50MHz.
Witam Niestety układy TTL z reguły nie pracują z częstotliwością wyższą niż 50Mhz Musisz zbudować np. taki układ: http://sklep.avt.com.pl/photo/_pdf/AVT12... Lub cokolwiek innego z układem scalonym U664B Pozdrawiam
Nie ma to znaczenia, ale jeżeli jesteś początkującym to najlepiej jako drugi proces. Dzielnik, też składa się z licznika. Poniżej zamieszczam kod który daje częstotliwość około 19.2 kHz z zegara 50MHz. signal reg_clock : std_logic_vector (10 downto 0) := "00000000000"; signal clock_19200 : std_logic := '0'; constant...
Witam wszystkich, bardzo proszę o radę :) Robię projekt na Spartanie 3E w VHDL, którego celem jest generowanie z buzzera dźwięków o różnych częstotliwościach za pomocą klawiatury matrycowej 4x4. Klawiature mam już zdekodowaną. Na obecnym etapie każdemu przyciskowi przypisana jest inna konfiguracja LED'ów (szereg ledów reprezentuje binarnie numer przycisku...
Mój miernik na TTLach mierzy do 50MHz a może i więcej (sprawdzane na telefonach bezprzewodowych). Zawsze można zrobić na wejściu dzielnik częstotliwości na specjalnym scalaku.
30MHz?, przecież to ślimaczy (; To już 32-bitowy MicroBlaze wyciąga ponad setkę MHz w Spartan'ach 3 na włączonych peryferiach. Jak chcesz uzyskać lepsze timingi i być hardkorem, możesz ręcznie łączyć bloki CLB (; Siła tkwi w dobrym opisie... No jeszcze istnieje w "świecie logiki" takie coś jak dzielniki częstotliwości...
Z tego, co pamiętam, w oscyloskopach o paśmie przenoszenia z 50MHz stosowano JFET-y na wejściu. Oczywiście przed nimi musiały być jakieś oporniki, może dzielniki skompensowane...
Miałem ten z czerwonym wyświetlaczem. Na co zwrócić uwagę: 1. stabilność wzorca (najlepiej aby to był 10MHz) 2. ilość cyfr 8 to takie minimum 3. czas całkowania przy pomiarach do powiedzmy 100kHz, a nawet niżej, tj z rozdzielczością 0,1Hz. 1s to akceptowalne maksimum. 4. rozdzielczość przy pomiarach w przedziale 1-100MHz Bywają mierniki 100MHz, które...
Tyle że zwykle są tam ograniczenia częstotliwości - w STM32 max co można wypuścić na ten pin to 50MHz. Może w LPC17xx jest inaczej, ale trochę wątpię (; 4\/3!! Pewnie dlatego jest jeszcze dzielnik po drodze [;
twoj kod nie przejdzie syntezy, uruchom quartusa i przeczytaj komunikaty o bledach; fpga to nie procesor; taka przykladowa wersja, nie dam glowy, ze nie ma jakiegos glupiego bledu, ale pokazuje jak zrobic to, czego oczekujesz: module tb(); reg reset, CE, clk; wire Q; initial begin reset = 1'b1; CE = 1'b1; #100 reset = 1'b0; end initial begin...
Witam. Inny sposób to pobrać schemat TS-120V http://www.cqham.ru/ftp2/sch_ts-120.zip Na schemacie poszukać płytę PLL i na niej poszukać przebiegi/dzielniki i VCO na 28MHz. Sprawdzić napięć i również sprawdzić kondensatory na bazie tranzystora . Sprawdzić to znaczy wylutować i zmierzyć ich pojemność. Kondensatory ze starości zmieniają swoją pojemność...
Maksymalna VCO to będzie 50MHz. Odnośnie podziału. Układ ma być w zasadzie prezentacją możliwości PLL'a, więc możliwości podziału mogą być dowolne, byleby działało :) Zdaję się na wasze rady.
Nie no, tak mniej więcej 50%. To ma być do taktowania mikrokontrolera. Powyżej 20MHz? Myślę, że gdzieś do 25MHz. Coś koło tego. Generator jest mi potrzebny, żeby móc podkręcić mikrokontroler :] Proponuję gotowy genarator 50MHz (w metalowej czterokońcówkowej obudowie, bywają na komputerowych płytach głównych, lub kupić nowy) i za nim dzielnik częstotliwości...
Inaczej jest z PICami. Tam licznik pracuje asynchronicznie i da sie zmierzyć częstotliwości dochodzące do 40-50MHz i to niezależnie od Fclk zegara. Właśnie miałem to na myśli, w sieci jest projekt miernika o takim zakresie na procesorze taktowany rzędu 10MHz. Pozatym to nie są jedyne procesory jakie można kupić. Niestety nie moge użyć dzielnika częstotliwości,...
Jak to widzisz w praktyce ? No można zrobić coś na wzór układu graficznego jaki zrobił Donn. W sumie Donn też mi doradził jak podejść do przystosowania CP/M do kobry - po co się nadwyrężać skoro można zapytać. Donn odpisuje błyskawicznie. http://cpuville.com/Projects/Standalone-... Donn ma zrobiony swój system, podobny,...
nie tworzysz nowego zegara no a jak jest na płytce na 50MHz, to i tak musisz zrobić dzielnik caly projekt powinien chodzic na jednym zegarze nie wiem jak to się ma do jednego z założeń projektowych, że częstotliwość mrugania ma się zmieniać natomiast ten clk ktory Ty tworzysz dwojka liczaca zamieniasz na enable. mógłbyś to rozwinąć bo nie wiem za bardzo...
Witam! W danych technicznych przyrządu masz: zakres mierzonych częstotliwości : 1Hz - 50MHz. Pasmo CB jest prawie w połowie tego zakresu, bo to 27MHz "z jakimiś drobiazgami" po przecinku, więc przyrząd powinien bez problemu zmierzyć, co "wychodzi" z Twojego CB. UWAGA! Nie wolno podłączać przyrządu wprost do gniazda antenowego CB! Dla pomiarów trzeba...
Hi! Kiedyś (20 lat temu) robiłem cyfrowy odczyt częstotliwości do odbiornika radiowego AM/FM. Korzystałem z liczników 74196, a programowanie pcz (10,7MHz dla FM, 456kHz dla AM) odbywało się przez matrycę diodową. Na wyjściu liczników musiałbyś jeszcze dodać mulitiplekser i dekoder BCD na kod siedmiosegmentowy. Liczniki 74196 pracowały poprawnie do częstotliwości...
Czyli w moim przypadku mogę pracować z dzielnikiem 1:10(kwarce 25MHz) ? Ten oscyloskop zgodnie z instrukcją obsługi ma pasmo przenoszenia 10 MHz. Wprawdzie częstotliwość 25 MHz będzie widać (przy odpowiednio dużej amplitudzie), ale mogą być problemy z synchronizacją przebiegu. Wielkość przebiegu też nie będzie adekwatna do nastaw przyrządu, bo czułość...
Witam! Musze skonstruowac przystawke do cyfrowego miernika uniwersalnego umożliwiająca pomiary napięć zmiennych wielkiej częstotliwości. Na poczatek może podam parametry: Zakres napięc pomiarowych 1mv do 100V ( myslalem nad dzielnikami - problem w tym ze musi byc kompensacyjny..) Pasmo przenoszonych czesotliwosci tak od 10MHz do ok 50MHz w tym celu...
Witam Koncepcje mam taką: Miernik częstotliwości na PIC (lub innym) LCD 1x16 znaków (tak aby była widoczna tylko częstotliwość a nie kupa innych utrudniających odczyt informacji). Jako preskaler chciałbym użyć LB3500 (dzielnik przez 8). Przeszukując internet znalazłem kilka projektów: http://www.elektroda.pl/rtvforum/topic16... Wygląda dosyć ciekawie...
Witam. Buduję ten miernik z różnymi preskalerami. Z preskalerem LB3500 i generatorem LC (zbudowanym samodzielnie) uzyskałem wskazania ok. 102MHz. Powyżej tej częstotliwości następowało zrywanie drgań generatora. LB3500 i dzielnik 74LS293 dawały podział przez 32 (wg schematu z miernika 4-150MHz dostępnego w postaci KIT-u). Generator pracował na najwyższym...
AVE... Oczywiście , że kładę ścieżki 230V obok, a co w tym niezwykłego , nie używasz optotriaka czy innego izolatora ? No skoro masz wywalone na normy bezpieczeństwa odnośnie projektowania PCB, olewasz zjawisko indukcji, jakimś cudem twoich sygnałów TTL-LV nie zagłusza przydźwięk sieciowy i nie obawiasz się przypadkowego przebicia lub zwarcia między...
Wie ktoś może gdzie taki TXCO 12,8MHz można kupić? W żadnym sklepie w sieci takiego nie znalazłem. Witam. Z tą wartością będą problemy. Mógłbyś dostać, ale za granicą. Są dwa rozwiązania. Częstotliwość tego TXCO jest odłożona w kodzie jako tzw. "program constant". Można więc wybrać inną częstotliwość TCXO i odpowiednio zasemblować nowy wsad. Ale jeśli...
ustawiłem na sztywno 66/33 puściłem szynę 166mhz, a everest dwie wersje oraz aida32 pokazuje mi tak:. To normalne ?? PoleWartość Typ procesoraIntel Celeron D 320, 3000 MHz (18 x 167) polewartość właściwości płyty głównej id płyty głównej63-1007-000000-00101111-111905-i8... date: 11/19/05 20:15:36 ver: 08.00.09 nazwa płyty głównejasus...
https://obrazki.elektroda.pl/4412519100_... Miernik częstotliwości to chyba jeden z najważniejszych przyrządów w pracowni elektronika radiowca. Tak zwana F-miarka czy hercmiarka jest bardzo ważnym przyrządem podczas prac z urządzeniami radiowymi i pozwala dokładnie zmierzyć częstotliwość pracy heterodyny czy też wyjściową nadajnika....
gdzie na mostku może być powyżej 400V Noto się kolega zdziwi bo w impulsie może być o wiele więcej. Wybór oscyloskopu nie załatwi całej sprawy gdyż do tego są odpowiednie sondy tzw wysokonapięciowe o dzielniku 1: 1000 czy 1;10000 i uwaga jeśli przy pracy na zasilaniu sieciowym, różnicowe a jeszcze lepiej sondy bezkontaktowe indukcyjne . Chociaż jeśli...
http://obrazki.elektroda.pl/4339422600_1... To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można...
Niedawno zrobiłem eksperyment, w ramach którego do wyjścia DSM-51 podłączyłem antenę teleskopową i wysyłałem w nią kluczowany przyciskiem (tak, że można nadawać kod Morsa) sygnał prostokątny o częstotliwości podstawowej 76800Hz. W odbiorniku Tecsun PL-880 słyszałem sygnał CW z tego DSM-51 z odległości 20-30cm. Nie wchodząc w szczegóły, zauważyłem, że...
Nie sprawdzałem jak to jest w innych układach, ale w tym ICS307-03 nie ma możliwości programowania na "stale" , programuje się go tylko i wyłącznie do rejestru RAM więc układ po włączeniu zasilania trzeba na samym początku zainicjować wysyłając ciąg 132 bitów. chcę uzyskać na wyjściu CLK1 dwie programowalne częstotliwości: 1,02300 MHz 0,98500 MHz Obecnie...
Coś tam można podzielić i coś tam można pomnożyć. Generalnie synteza częstotliwości na DCM jest obarczona dużym jitterem i nie wszystkie dzielniki są dostępne. Wspomniane 5% to bardzo duży zapas, ale najlepiej byłoby od razu pracować na częstotliwości do transmisji i dzielić przez okrągłe 2^N http://toolbox.xilinx.com/docsan/xilinx7...
https://obrazki.elektroda.pl/5632558400_... Urządzenie umożliwia: - badanie charakterystyk w paśmie częstotliwości 50kHz-50MHz - posiada wyjście SPI służace do zmian wyjściowych (zbieranie ch-k ze względu na inne parametry) - badanie widma sygnału z krokiem 10kHz lub 100kHz, z opcją stop - dwa generatory sinus (ok 300mV) i prostokąt...
https://obrazki.elektroda.pl/7664876000_... Pod jednym z ostatnich moich artykułów rozgorzała intensywna dyskusja na temat oscyloskopów cyfrowych. Pomyślałem sobie, iż niektórzy, zwłaszcza początkujący, mogą sobie nie zdawać sprawy z pewnych aspektów używania oscyloskopów i ich użytecznych oraz ograniczeń. W miarę możliwości będę przedstawiał...
Chaos zaczyna się robić. Diody D609, D610 konfigurują dla syntezy wartość częstotliwości pośredniej w tunerze, jaką wymuszają egzemplarze użytych trójnóżkowych filtrów ceramicznych 10,7MHz. Filtry w procesie produkcji mają rozrzut częstotliwości środkowej i grupuje się je (mierzy ich częstotliwość przepustową i paruje) i oznacza na końcu produkcji kolorowymi...
Tzn, ja połączyłem te moduły ( dzielnik częstotliwości i generator VGA ) w module testbencha, oto kod testbenchu : http://obrazki.elektroda.pl/1823925800_1... Rozumiem, że h_sync jest sygnałem taktującym licznik v_sync, tak też jest u mnie, czas pomiędzy impulsami v_sync (czyli okres ) wynosi 16,8 ms 1 impuls h_sync trwa 32,8 us, a więc...
https://obrazki.elektroda.pl/4486683300_... W Problematyczny układ Naszym pacjentem będzie znany z poprzedniej części układ, z pewnymi zmianami: https://obrazki.elektroda.pl/8384214600_... Usunąłem kondensatory sond oscyloskopowych, pojemność pasożytnicza 4pF jest podłączona na stałe, druga pojemność pasożytnicza...
W ogóle ten układ to lipa pod kątem czułości, co ma w A 28 rzekłbym opłakane tego skutki. O jakie czułości mówisz? Czułość wejścia na które podawany jest sygnał z generatora? LC7131 daje prawie dwa razy większą amplitudę Mówisz o amplitudzie drgań na rezonatorze? (at)krychast Wejściówka z samym wtórnikiem źródłowym czułości nie będzie mieć rewelacyjnej...
Witam Probuje zmienic satan pinu z okresem 1Mhz. Niestety maksymalnie co uda mi sie wyciagnac to 526kHz przy preskaler=1 okres=1. Jak moge szybciej przemiatac pinem? zegar rozbujany do 72Mhz , pin ustawiony na 50MHz. reszta ustawien : [syntax=c] TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure; //Konfiguracja licznika TIM 1 //Ustawienia taktowania i trybu...
Ja zrobiłbym to tak: Kod osadzony jest w trzech plikach. Przedstawię je kolejno: plik dzielnik.vhd: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity dzielnik is generic ( podzial : natural := 10000000 ); port ( clk : in std_logic; rst : in std_logic; wyj :...
W zasadzie to program jest taki sam jak w pierwszym poście załącze jeszcze raz: [syntax=c] #include <stdint.h> #include "inc/stm32l053xx.h" #include "config.h" #include "hdr/hdr_syscon.h" /* +=======================================... | module variables +=======================================...
W przypadku układów FPGA preferowane są układy synchroniczne pracujące w jednej domenie zegarowej, natomiast w twoim projekcie występuje kilka sygnałów zegarowych. Użyłem kilku stopni podziału, bo każdy licznik ma działać z różną częstotliwością i nie umiem tego zrobić inaczej Pomysł adaptacji układu mającego analogiczny układ jak zbudowany z TTL nie...
dzielnik częstotliwości 50mhz dzielnik częstotliwość wykonać dzielnik częstotliwość
tl431 mosfet gotowanie płynu chłodniczego latarki mactronic
latarka mactronic kable samoregulujące
Długi czas odczytu plików z dysku na telewizorze Aktualizacja pola zmienia wartość innego pola w systemach zarządzania danymi