REKLAMA

generator zegarowy

Znaleziono około 660 wyników dla: generator zegarowy
  • Rigol DG1032Z - Jak działa generator arbitralny

    Trudno chyba wyobrazić sobie pracę elektronika, który nie dysponuje choćby najprostszym generatorem. Obok miernika uniwersalnego, zasilacza i oscyloskopu jest to podstawowe narzędzie warsztatowe. W dzisiejszych czasach elektroniczny sprzęt pomiarowy został niemal w stu procentach zdominowany przez przyrządy wykorzystujące technikę cyfrową. Trend ten...

    Mikrokontrolery   01 Wrz 2017 08:41 Odpowiedzi: 24    Wyświetleń: 13863
  • REKLAMA
  • Miniaturowe generatory zegarowe dla szyny PCI Express

    Firma Silicon Laboratories rozszerzyła swoje portfolio rozwiązań zegarowych dla PCI Express (PCIe) o dwa nowe jedno- i dwukanałowe generatory zegarowe dla szyny PCIe, o najmniejszej powierzchni i najniższej pobieranej mocy spośród rozwiązań aktualnie dostępnych na rynku. Nowe układy spełniają surowe wymagania standardu PCIe generacji 1/2/3. Generatory...

    Newsy   29 Maj 2013 09:09 Odpowiedzi: 0    Wyświetleń: 1686
  • Generator zegarowy z dwoma pętlami PLL i rozproszonym widmem.

    Układ AD9577 zapewnia generację i dystrybucję zegara. Jego funkcjonowanie opiera się o dwie pętle PLL - PLL1 i PLL2, zoptymalizowane do generowania przebiegów zegarowych. Pętla PLL oparta jest o tradycję i umiejętności firmy Analog Devices, która wielokrotnie sprawdziła się na rynku producentów PLL o niskim szumie fazowym i wysokich parametrach pracy....

    Newsy   13 Sty 2012 13:47 Odpowiedzi: 0    Wyświetleń: 1279
  • REKLAMA
  • Czy generator zegara może pełnić rolę kompensatora szumu fazowego

    Celem poniższego artykułu, jest pokazanie, że stwierdzenie, iż generator zegarowy nie jest w stanie czyścić sygnałów z jitteru (szumu fazowego) jest popularnym stereotypem. Nie chcemy go tutaj powielać, dlatego przedstawimy tutaj różnice pomiędzy typowymi generatorami przebiegów taktujących a układami do kompensacji jitteru. Przyjrzymy się bliżej także...

    Artykuły   25 Mar 2017 21:33 Odpowiedzi: 0    Wyświetleń: 1725
  • Programowalny generator zegarowy z jitterem fazy poniżej 0,7 psec

    Firma Integrated Device Technology dodała do swojej rodziny programowalnych generatorów zegarowych VersaClock 5 nowy układ 5P49V5901, z czterema uniwersalnymi parami wyjść o możliwości uzyskania częstotliwości do 350 MHz. Układ składa się z czterech różnicowych lub ośmiu jednowyjściowych generatorów zegarowych i może zapamiętać do czterech różnych...

    Newsy   18 Mar 2014 21:49 Odpowiedzi: 0    Wyświetleń: 1053
  • Generator sygnału zegarowego.

    Do AD9551 podłączyć można jeden, bądź dwa zegary referencyjne (o częstotliwości od 19.44 do 806 MHz ), z których układ ten generuje jeden, bądź dwa, sygnały zegarowe (o częstotliwości od 10 do 777.6 MHz). Częstotliwości tych sygnałów są ze sobą harmonicznie powiązane, za pomocą programowalnego współczynnika (od 1 do 63). Układy wejściowe i wyjściowe...

    Newsy   24 Mar 2009 22:53 Odpowiedzi: 0    Wyświetleń: 1494
  • REKLAMA
  • Niskoszumny generator sygnału zegarowego.

    CDCM9102 to nowy ukłąd zegarowy firmy Texas Instruments. Charakteryzuje się on niskim jitterem (21ps pk-pk, 510fs jitteru losowego). Spełnia dzięki temu standardy transmisji takich jak PCI Express. CDCM9102 jest niezwykle zintegrowany, zawiera w sobie pełną pętlę PLL, generator VCO oraz filtry wyjściowe, a także bufor, pozwalający na podłączanie układu...

    Newsy   19 Mar 2012 11:51 Odpowiedzi: 0    Wyświetleń: 1088
  • [Kupię] Zilog Z8581, generator sygnału zegarowego

    Witam Kupię układ Zilog Z8581 (oznaczenie na obudowie Z0858110PSC), jest to podwójny generator sygnału zegarowego. Chętnie wezmę nawet 2-3 sztuki jakby ktoś miał.

    Elementy elektroniczne Bazar   15 Kwi 2021 06:42 Odpowiedzi: 0    Wyświetleń: 222
  • Generator zegarowy do motoroli

    Witam robię właśnie programator do procesorów motoroli z rodziny 68hc08. Problem polega na tym że by skomunikować się z wcześniej zaprogramowanym prockiem potrzebne jest zewnętrzne źródło taktujące o częstotliwości 4,9152 MHz lub 9,8304 MHz. Mam kwarc 4,9152 i potrzebuje do niego jakiś prosty układ, który by generował tą częstotliwość.

    Mikrokontrolery   28 Gru 2005 23:33 Odpowiedzi: 2    Wyświetleń: 938
  • Generator sygnału zegarowego o zadanej częstotliwości

    Witam, tym razem temat ten nie dotyczy żadnego problemu ani niczego w tym stylu, chodzi mi bardziej o pochwalenie się :P programem który stworzyłem do liczenia parametrów akumulatora fazy służącego do generowania sygnału zegarowego o zadanej częstotliwości. Sam pomysł akumulatora fazy zaczerpnąłem z artykułu Analog Devices na temat Direct Digital Synthesis...

    Programowalne układy logiczne   27 Lut 2009 14:44 Odpowiedzi: 6    Wyświetleń: 5043
  • Wykonywanie instrukcji w wielu cyklach zegarowych

    Nie potrafię znaleźć takich informacji a chciałbym spróbować zaprojektować prosty mikrokontroler. mianowicie jak instrukcja jest wykonywana przez mikrokontroler w kilku cyklach zegarowych w architekturze bez pipeling-u (w trybie sekwencyjnym instrukcja po instrukcji)? Przykładowo mamy instrukcję skoku 'jmp' (zabierającą trzy cykle zegarowe) w pierwszym...

    Mikrokontrolery   13 Lut 2010 19:33 Odpowiedzi: 1    Wyświetleń: 1185
  • [Atmega162][ASM]Procesor jako generator sygnału zegarowego

    Witajcie! Czy jest możliwe aby taktować Atmegą inną Atmegę? Np używając pwm z prescalerem 1 oraz z rejestrem OCR równym zero. Czy sygnał będzie miał odpowiedni kształt? Pozdrawiam

    Mikrokontrolery AVR   18 Sie 2011 21:22 Odpowiedzi: 2    Wyświetleń: 1188
  • Generator sygnału zegarowego do mikroprocesora (6502)

    Potrzebuję stworzyć generator sygnału zegarowego do mikroprocesora 6502. Przykładowa nota takiego procesora: Na początku zbudowałem sobie taki generator w oparciu o tranzystory bipolarne: Wszystko działa pięknie. Ma on też tę zaletę, że od razu generuje dwa źródła tego sygnału zegarowego, gdyby chcieć np. taktować twa procesory tym samym kwarcem. Ponieważ...

    Mikrokontrolery   05 Wrz 2012 22:46 Odpowiedzi: 7    Wyświetleń: 2481
  • Generator impulsów zegarowych

    Witam, jestem bardzo początkujący w tematach elektroniki... :P Muszę zrobić licznik od 2 do 6. Mam synchroniczny licznik 74160 oraz układ z nandami. Zrobiłem sobie bardzo prosty projekt takiego licznika, liczy jak trzeba w multisimie, ale mam problem z generatorem impulsów zegarowych. Czy są gotowe układy scalone z impulsami zegarowymi? Albo jak taki...

    Początkujący Naprawy   06 Cze 2013 13:19 Odpowiedzi: 2    Wyświetleń: 1539
  • REKLAMA
  • Budowa generatora sygnału zegarowego 28.375 MHz

    Witam, Potrzebuje zbudować generator sygnału 28.375 MHz. Z dużym trudem udało mi się zdobyć kwarc 28.375 MHz. Niestety kwarc ten sam z siebie (po wzmocnieniu np. w takim układzie) wcale nie oscyluje na 28.375 MHz, tylko na ok 10 MHz (czyli 3 razy mniej). Mam więc przeczucie, że jest to kwarc overtonowy który dopiero na 3 harmonicznej będzie drgał na...

    Projektowanie Układów   30 Kwi 2016 21:27 Odpowiedzi: 8    Wyświetleń: 2514
  • Generator sygnału zegarowego dla ADC i SDRAM do 60MHz

    Witam, mam w planach wykonanie przystawki oscyloskopowej do PC i potrzebuję przełączanego generatora dla ADC i SDRAM do 60MHz. Czy są jakieś gotowe układy, które można w tym celu wykorzystać? Stosując generator np. 60MHz i dalej programowane dzielniki /2, /4, /8, /16, /32 itd. Zastanawiałem się nad wykorzystaniem ATtiny26 z PLL 64MHz i timera0 z przełączanym...

    Projektowanie Układów   13 Sty 2020 22:51 Odpowiedzi: 5    Wyświetleń: 438
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    Zdolność precyzyjnego odmierzania czasu leży u podstaw rozwoju całej ludzkiej cywilizacji. Stworzenie zegara, który działa w każdej pozycji i w każdych warunkach leżało u podstaw nawigacji morskiej. O ile szerokość geograficzną da się obliczyć na podstawie wysokości słońca nad horyzontem, o tyle określenie długości wymaga wiedzy, która jest godzina...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 4272
  • Generator zegarowy MEMS trafia do układów FPGA

    Firma Lattice Semiconductor, świętująca 50 lat w branży półprzewodników, wykorzystuje możliwości precyzyjnego pomiaru czasu z technologią marki SiTime, do swoich rozwiązań FPGA o niskim poborze mocy. Dostawca FPGA z Hillsboro w stanie Oregon zintegruje oparty na zegarach mikroelektromechanicznych (MEMS) — Clock-System-on-a-Chip (ClkSoC) — oraz Emerald...

    Newsy   04 Maj 2023 19:35 Odpowiedzi: 1    Wyświetleń: 477
  • Generator Pierce'a w schematics

    Podejrzewam, że C4 1.6pF jest zdecydowanie za mały do tej częstotliwości. Może zwiększ C6 i C3 do 1nF, tak jak były na początku, C4 daj duży, L1 kilkadziesiąt uH, a L2 dużo większą - wtedy to będzie na tyle podobne do układu Colpittsa, że musi się wzbudzić, chyba że jest błąd w układzie, na przykład tranzystor nie ma zasilania, albo brak podłączenia...

    Projektowanie Układów   25 Cze 2006 19:09 Odpowiedzi: 13    Wyświetleń: 5138
  • Jak to zrobić? Generator impulsów.

    witam. potrzebuję zrobić generator impulsów najlepiej bardzo prosym sposobem (wykluczając procesory i inne układy programowalne). zsynchronizowany będzie z generatorem zegarowym o f=20kHz. reagować ma na zbocze opadające czekać ok. 5us i wygenerować impuls 1us. proszę o pomoc.

    Projektowanie Układów   29 Sty 2007 23:00 Odpowiedzi: 11    Wyświetleń: 3968
  • Generator ~6kHz

    Witam. Chciałbym sie upewnić czy dobrze napisałem kod i poprawnie wszystko wyliczyłem żeby uzyskać generator przebiegu prostokątnego za pomocą ATMega88. Założenia: -f=6,024kHz -> okres=166us -> T=okres/2=83us -zewnętrzny generator zegarowy = 8MHz -Liczba zliczanych odcinków czasu L=T/t=83us/1us=83 odcinków czasu(1us dla preskalera CLK/8) Kod:...

    Mikrokontrolery   05 Mar 2008 15:32 Odpowiedzi: 0    Wyświetleń: 726
  • Generator trzech dlugich impulsów

    Witam. Można to zrobić na kilka sposobów: 1.Użyć pięciu multiwibratorów monostabilnych (np. 555, bramki C-MOS z wejściem Schmitta, itp), trzy z nich "na 40sek" i dwa "na 8sek", wyzwalających się kolejno. Sygnały wyjściowe (40sek.) - zsumować. 2.Użyć licznika 4017 ze skróconym cyklem liczenia. Bramkowany generator zegarowy, wytwarzający sygnał o wypełnieniu...

    Projektowanie Układów   28 Maj 2009 15:33 Odpowiedzi: 1    Wyświetleń: 1019
  • generator dwu częstotliwości cyfrowych z płynnym podziałem

    Jeśli nie zależy Ci na stosowaniu CPLD, FPGA to możesz wykorzystać programowalny generator sygnału zegarowego np. ICS8430BI-71. Umożliwia ustawienie częstotliwości od około 16 do 700 MHz z krokiem zależnym od częstotliwości. Przy częstotliwościach <50MHz jest to 0.125 MHz później co 0,25 a najwyższe częstotliwości powyżej 350 MHz już tylko co 2 MHz....

    Programowalne układy logiczne   01 Kwi 2009 09:58 Odpowiedzi: 9    Wyświetleń: 2462
  • Generator napięcia schodkowego

    To zmienia postać rzeczy niestety, bo myślałam, że poziom podstawowy jest też liczony jako schodek. A to chyba ty masz wiedzieć, ile potrzeba schodków i w jaki sposób liczone? Na 74193 łatwo uzyskasz 2, 4, 8 i 16 różnych stanów; inne ilości można uzyskać wykorzystując zerowanie, albo presetowanie licznika - tylko pytanie, jak je podłączyć (trzeba zajrzeć...

    Projektowanie Układów   12 Paź 2014 23:28 Odpowiedzi: 3    Wyświetleń: 1830
  • TLC5940 16-kanalowy generator PWM

    Szczerze polecałbym jakiś inny układ, z własnym generatorem sygnałów zegarowych - to dziadostwo trzeba taktować zewnętrznie. Dobrym tropem są biblioteki do Arduino, jak jesteś skazany na ten scalak.

    Mikrokontrolery   04 Mar 2017 19:25 Odpowiedzi: 3    Wyświetleń: 582
  • Generator zegara o niskim szumie fazowym

    Analog Devices wprowadza do produkcji nowy układ generatora i konwertera sygnału zegarowego. AD9525 to dedykowany do systemów LTE i GSM układ generujący do ośmiu wyjść zegarowych. AD9525 zapewnia do ośmiu wyjść LVPECL z sygnałem zegarowym. Układ charakteryzuje się niskim szumem fazowym oraz niewielkim poborem prądu. Wyposażono go w wbudowaną pętlę...

    Newsy   23 Paź 2012 09:25 Odpowiedzi: 0    Wyświetleń: 756
  • Impulsator zegarowy. Sieć zegarowa 24V. Generowanie krótkich impulsów.

    Zibi, odpuść sobie ten schemat multiwibratora jako generatora sygnału do zegarów. Dokładność w czasie tego układu jest całkowicie niewystarczająca. Sygnał wzorcowy musi pochodzic z generatora kwarcowego, inaczej się nie da.

    Projektowanie Układów   20 Lut 2005 22:23 Odpowiedzi: 5    Wyświetleń: 2096
  • Generator wzorcowy GPS SYNC2

    Poniższy projekt jest ulepszoną wersją prezentowanego przeze mnie jakiś czas temu generatora wzorcowego synchronizowanego z odbiornikiem GPS. W związku z tym opis będzie krótszy, wspomnę tylko o różnicach oraz nowych funkcjonalnościach urządzenia. Przed dalszą lekturą warto zapoznać się z protoplastą.

    DIY Konstrukcje   10 Gru 2018 20:59 Odpowiedzi: 5    Wyświetleń: 2691
  • Generator impulsów z wykorzystaniem przerzutnika Schmitta

    Schemat na bramce Schmitta (układ 4093) na wyjściu można dać bramkę separującą obciążenie. Stan początkowy STER=0, kondensator jest naładowany Uc1≈Ucc, na wyjściu bramki jest stan wysoki (Uwy≈Ucc). STER zmienia stan na 1 -> wyjęcie bramki na 0, czas do pierwszego przełączenia bramki: t0=R1*C1*ln(Ucc/UL) Następuje zmiana na stan wysoki,...

    Początkujący Elektronicy   08 Sty 2005 19:05 Odpowiedzi: 3    Wyświetleń: 4293
  • Generator dźwięku 2-tonowego - niezgodność z "oryginałem"

    Temat jest pięknie do zrobienia na arduino na przerwaniach i PWM, co więcej, tylko na przerwaniach można uzyskać czystość dźwięku bez chrypki Dlaczego wg Ciebie tutaj dźwięk jest zniekształcony? Przez użycie millis jako zmianę tonu co 13ms? Robiłem generator maksymalnie miłego dla ucha modulowanego dźwięku (jak najbardziej sinusoidalny) na Atmega 8...

    Arduino   20 Kwi 2017 23:34 Odpowiedzi: 22    Wyświetleń: 1662
  • Generator do zegara dworcowego

    Wprawdzie jeszcze nie słyszałem o tym że nasz system energetyczny ma stabilizowaną częstotliwość, ale być może że już osiągnęliśmy ten etap rozwoju... Ostatecznie jesteśmy w Unii a tam to działa. Do niedawna jeszcze zegarki pędzone silniczkiem synchronicznym (albo elektroniczne synchronizowane siecią) wykazywały duży błąd na minus, praktycznie częstotliwość...

    Projektowanie Układów   16 Cze 2006 23:03 Odpowiedzi: 12    Wyświetleń: 3886
  • generator+rejestr+30 led -problem

    Raczej lepiej do tego zadania będzie wykorzystać rejestr (rejestry połączone ze sobą)) przesuwający z wyjściami równoległymi. Na wejście szeregowego wprowadzania danych podajesz na stałe jedynkę, a do wejścia zegarowego podłączasz przebieg z generatora. W ten sposób po każdym impulsie zegarowym pojawi się jedynka na kolejnym wyjściu równoległym rejestry....

    Projektowanie Układów   18 Sty 2009 20:41 Odpowiedzi: 1    Wyświetleń: 992
  • Generator krótkich impulsów mocy do pomiarów elektroluminescencji

    A może LH 0026 ? Chodzi do 10 MHz i dobrze regeneruje sygnał zegarowy. Czas narastania i opadania zbocza około 10 ns.

    DIY Konstrukcje   17 Cze 2013 17:51 Odpowiedzi: 20    Wyświetleń: 22467
  • Generator funkcyjny z układem DDS

    Po zakupie na aukcji internetowej modułu z układem DDS typu AD9850, autor zdecydował się na stworzenie własnego generatora funkcyjnego wykorzystującego bezpośrednią syntezę cyfrową, który mógłby zastąpić generator pracujący do tej pory w warsztacie autora. Moduły z układami AD9850 można czasami kupić bardzo tanio na aukcjach internetowych. Niektórzy...

    DIY Zagranica   30 Mar 2013 21:05 Odpowiedzi: 0    Wyświetleń: 12051
  • Regulowany generator wolnych przebiegów prostokątnych 05-2Hz na 555

    7474 też zapewni podział częstotliwości i symetryzację sygnału. Łączymy zanegowane wyjście z wejściem danych i do wejścia zegarowego podajemy przebieg z regulowanego generatora. W zasadzie diody w obwodzie ładowania/rozładowania kondensatora są potrzebne gdy chcemy regulować wypełnienie. . Bez P2 i diod przebieg powinien mieć wypełnienie 50%.

    Warsztat elektronika   20 Gru 2020 20:47 Odpowiedzi: 4    Wyświetleń: 1152
  • Przetwornik analogowo cyfrowy MAX19506, skąd sygnał zegarowy?

    Witam. Mam następujący problem. Zdobyłem bardzo dobry przetwornik analogowo-cyfrowy MAX19506, jedyną przeszkodą przed prawidłowym funkcjonowaniem jest brak odpowiedniego źródła sygnału zegarowego. Uruchomiłem wstępnie przetwornik w współpracy z FPGA Cyclone II, zegar "robię" z generatora 25MHz puszczonego na PLL FPGA wyprowadzam zegar i jego negację...

    DSP i Transmisja   02 Kwi 2012 21:16 Odpowiedzi: 1    Wyświetleń: 1177
  • AD9833 i generator sinusa 100kHz na AVR.

    mam takie pytanie : CZY MOŻLIWA JEST SYNCHRONIZACJA wyjściowego sygnału SINUSOIDALNEGO z dwóch kostek AD9833 chodzi o synchronizacje FAZY czy gdy użyje dwóch kostek AD9833 , połącze w nich wejścia FSYNCH a na wejscie zegarowe CLK drugiego AD9833 podam częstotliwość zegarową podzieloną przez 2 , to na wyjściu tego drugiego taktowanego otrzymam sinusoide...

    Mikrokontrolery   11 Sty 2009 10:26 Odpowiedzi: 12    Wyświetleń: 5958
  • Obniżanie napięcia sygnału zegarowego

    Mam następujący problem: tworzę układ do akwizycji danych, gdzie powinienem zapewnić takie samo taktowanie zegarowe dla mojego przetwornika (AD1877) i procesora (At91SAM7SE256). Taktuje je oba z tego samego generatora SG8002CA o częstotliwości 11.2896MHz, który ma '1' logicznej na poziomie 3.3V. Nie zauważyłem jednak, że wejście zegarowe procesora ma...

    Początkujący Elektronicy   17 Sie 2009 11:52 Odpowiedzi: 2    Wyświetleń: 873
  • Zegarek a AT90S2313. Jak wyprowadzić takt zegarowy?

    Jeśli częstotliwość ma być mniejsza to można także odseparować to bramką a nastęnie przy pomocy dzielników uzyskać pożądaną częstotliwość. Warto więc wybierać okrągłe częstotliwości np. 2MHz, żeby łatwo to podzielić przez 2 a następnie przez 10 itd. Jak sugerowano wcześniej można do tego wykorzystać jeden z Timerów, który niezależnie od programu co...

    Mikrokontrolery   17 Gru 2004 10:57 Odpowiedzi: 3    Wyświetleń: 1026
  • Bezstykowy pomiar częstotliwości układu zegarowego.

    Albo cewka, albo antenka - zależy, jak ten generator jest zrobiony, na jaką częstotliwość... Impuls jest co 1 Hz. Dowiedzialem sie teraz, ze generator ten taktuje niewielki mechaniczny, wskazówkowy zegar. Czyli w zegarze jest cewka która napędza mechanizm.

    Projektowanie Układów   08 Cze 2006 16:40 Odpowiedzi: 8    Wyświetleń: 1349
  • sterownik zegarowy AVT2800

    witam kolegow ale z tego co mi sie wydaje to kolega zumek chyba cos zle pisze przeciez jak ustawi sie External Crystal/Resonator High Frequency alboDCBA-1101=CKSEL=110X External Crystal/Resonator Medium Frequency to to nieruszy wogole sie wylonczy i procek bezie "martwy" mi sie wydaje nie wiem czy slusznie ze to sa ustawienia na zewnetrzny generator...

    Mikrokontrolery   05 Gru 2009 21:32 Odpowiedzi: 14    Wyświetleń: 2937
  • Sygnał zegarowy układu 4017 i amplituda wyjściowa 555

    Witam. Mam 2 krótkie pytanka: 1. Jakiej maksymalnej amplitudy może być sygnał zegarowy układu 4017? 2. Czy amplituda sygnału wyjściowego ne555 (przy pracy astabilnej) zależy od napięcia zasilania? Wg symulacji które przeprowadziłem tak. A jak to jest naprawdę? Pytam, bo interesuje mnie czy mogę sygnał z generatora podać na zegar 4017 przy zasilaniu...

    Początkujący Elektronicy   25 Lut 2008 18:46 Odpowiedzi: 2    Wyświetleń: 1370
  • Synchronizacja syganłu zegarowego(16Mhz) z impulsem- pomysł?

    Witam, mam następujący problem- muszę zsynchronizować w fazie sygnał zegara (clk) z pojedynczą zmianą stanu sygnału zewnętrznego. Chodzi o takie opóźnienie fazowe cyklu zegarowego, aby następny takt zaczynał się równo ze zmianą sygnału zewnętrznego. Rezonator kwarcowy raczej nie da rady, wiec myślałem o zbudowaniu generatora z pll lub dds. :idea: Niestety...

    Mikrokontrolery   16 Cze 2008 10:30 Odpowiedzi: 7    Wyświetleń: 1035
  • Sygnał zegarowy generowany z baterii - jak zrobić?

    Witam. Schemat który przedstawiłeś kilka postów wcześniej co nieco różni się od tego co ja znalazłem. Czy to taka zmyłka ?

    Początkujący Elektronicy   27 Sie 2008 01:03 Odpowiedzi: 19    Wyświetleń: 4496
  • Generator liczb losowych z programowalnym zakresem.

    Jak zastosujesz dwa liczniki 40102 to uzyskasz licznik z programowanym wejściem do czterech cyfr. Do wejść ustalających stan początkowy licznika należy dołączyć jakiś nastawnik którym będziesz określał zakres pracy licznika. To może być jakiś przełącznik elektromechaniczny albo też nastawnik cyfrowy, (ale o tym później). Do wejścia zegarowego tych liczników...

    Projektowanie Układów   18 Paź 2008 10:57 Odpowiedzi: 8    Wyświetleń: 4418
  • Generator przebiegu prostokątnego na 74121

    Załamujesz mnie. Po co generator zegarowy, skoro na '121 można to zrobić. Bramkowanie jest banalnie proste. Wykorzystuje się wejścia A1 i A2, podając na nie stan niski!

    Początkujący Elektronicy   18 Kwi 2009 21:03 Odpowiedzi: 2    Wyświetleń: 2312
  • Wykrycie utraty sygnalu zegarowego

    A ja myślałem, żeby użyć CD4046 (pętli fazowej) - generatora użyć "wolnobieżnie" (bez zapiętej pętli PLL), ustawić go na trochę niższą częstotliwość niż Twój zegar. Wtedy detektor fazy II (to jest opisane w specyfikacji tego układu) będzie działał jak komparator częstotliwości - pokaże, która jest większa. To chyba wystarczy:)

    Projektowanie Układów   17 Cze 2009 22:42 Odpowiedzi: 3    Wyświetleń: 1043
  • Generator Kwarcowy na f = 11.2896 MHz lub f = 16.9344 MHz

    Witam, konstruuje właśnie w ramach mgr moduł akwizycji danych. W module chcę użyć przetwornika A/D AD1877 ( ), ale on potrzebuje sygnału zegarowego f = 11.2896 MHz lub f = 16.9344 MHz, aby przetwarzał sygnał z częstotliwością f = 44.1 kHz. Generatorów kwarcowych o tej wartości nie znalazłem (przynajmniej nie w Polsce, bo w USA są), ale znalazłem kwarc...

    Początkujący Elektronicy   13 Lip 2009 18:22 Odpowiedzi: 3    Wyświetleń: 3139
  • generator kwarcowy w metalowej obudowie ?

    Czy stosowanie generatorów kwarcowych w obudowach IQXO-22, -22I wymaga poza prawidłowym podłaczeniem zasilania i ew. opcjonalnego sterowania wyjściem trójstanowym, jakiś specjlanych warunków ? Z pozoru rzecz banalna mi się przytrafiła która sprowadza się do tego że ubzdurałem sobie zrobić jedno żródło impulsów zegarowych, dla procka i ew. innych układów....

    Mikrokontrolery AVR   12 Wrz 2009 14:12 Odpowiedzi: 6    Wyświetleń: 2552
  • Licznik imitujący bombę zegarową.

    Jeśli ma to być zrobione w celach edukacyjnych (imitacja!) to może zacząć od TTL-a, np. generator 1s na 74123 ew. NE555, 6x74193, 6x7447. Jest jeszcze CMOS np.: NE555, 6xCD4518/4520, 6xCD4516. Dodatkowo mamy niezły efekt wizualny - kilkanaście układów scalonych!

    Mikrokontrolery   10 Gru 2009 16:07 Odpowiedzi: 10    Wyświetleń: 2872
  • Tanie źródło sygnału zegarowego do CPLD

    I jako inv1_i wprowadzić GCKx a inv1_o wyprowadzić na pin io i inv1_o równocześnie traktować jako "główny" zegar do reszty logiki w środku ? (w sensie gdzieś tam inv1_o zmapować sobie jakoś na CLK) Oba piny będą jako normalne (nawet wręcz muszą) i później przypisać z inv1_o do linii zegarowej przez wewnętrzny bufor Aaa no i jeszcze jedna kwestia -...

    Programowalne układy logiczne   20 Kwi 2012 22:20 Odpowiedzi: 7    Wyświetleń: 4228
  • Przełącznik wejścia zegarowego na inne wyjścia.

    Szukam schematu lub gotowego układu który ma tak działać : A wiec tak mamy nasz układ na pin 14 dajemy (wejście zegarowe) podłączam generator impulsów. na wyjściach (A,B,C,D) nic się nie dzieje czyli jest stan niski . Kiedy użyjemy "przełącznika" na wyjściu 0 pojawia się ten sam sygnał co na pinie 14. Gdy znów użyjemy "przełącznika" na wyjściu 0 pojawia...

    Początkujący Naprawy   24 Kwi 2012 10:32 Odpowiedzi: 1    Wyświetleń: 729
  • Cyclone II Quartus 2 PLL z jednego generatora

    Dziękuję za odpowiedź. Potrzebowałem dokładnie 3 zegarów, 100MHz, 90MHz i 60MHz. O ile z jednego PLL-a mogłem uzyskać 100MHz i 60MHz to dzielniki/mnożniki nie pozwoliły mi uzyskać już 90MHz. Ostatecznie okazało się, że projekt może obyć się bez zegara 90MHz. Niestety tak jak pisze kolega tymon_x aby wykorzystać drugi PLL należy fizycznie połączyć odpowiednie...

    Programowalne układy logiczne   08 Lip 2012 10:35 Odpowiedzi: 2    Wyświetleń: 1820
  • Termostat 4 kanałowy + sterowanie czasowe Zegarowe Atmega 328

    Witam wszystkich Właśnie powstał sterownik Termostat na potrzeby nadchodzącego sezonu Jesienno - zimowego Termostat. Jest to wersja 4 kanałowa na czujnikach DS18B20 Jako elementy wykonawcze to triaki BT136 które wysterują Styczniki Ać na 230V Do odliczania zegarka został zaprzęgnięty PCF w wersji 8563 + bateria do podtrzymania. A właśnie sercem układu...

    DIY Konstrukcje   27 Maj 2014 07:14 Odpowiedzi: 23    Wyświetleń: 17274
  • Mechanizm wahadła zegarowego

    Kiedyś (~40 lat temu) w "Delcie" opisano taki układ: generator samodławny na tranzystorze germanowym małej mocy (tylko teraz niełatwo taki kupić), z dwiema cewkami po 1000 zwojów, bez oporników, zasilany z baterii 1.5V, nad tym na nitce magnes - wystarczyło odchylić magnes, żeby zaczął się wahać, i generator już to podtrzymywał, przy znikomym zużyciu...

    Początkujący Naprawy   07 Sty 2023 18:51 Odpowiedzi: 14    Wyświetleń: 5493
  • Kwarc jako wejście zegarowe?

    Witam, Ostatnio w moje ręce wpadł układ AD9833 (), aby go uruchomić potrzebuję zegara taktującego. W przykładowych schematach (na płytkach ewaluacyjnych) jest generator kwarcowy, którego nie mam pod ręką, czy zamiast niego mogę wykorzystać zwykły oscylator kwarcowy (wykorzystywany do taktowania mikrokontrolerów). Niestety nie mogę spróbować czy układ...

    Początkujący Elektronicy   29 Sty 2014 17:24 Odpowiedzi: 1    Wyświetleń: 768
  • Generator prostokątny strojony dużej stabilności

    Cześć koledzy, chciałbym zrobić generator przebiegu prostokątnego o wypełnieniu 50% (tj. zegarowy), który będę mógł stroić (cyfrowo lub analogowo) z dużą dokładnością, a sam generator po nastrojeniu będzie w stanie stabilnie utrzymać zadaną częstotliwość. Założenia (nie podlegają dyskusji): mam jakiś generator oparty o kwarc 32,768 kHz - w zależności...

    Początkujący Elektronicy   21 Lis 2017 13:52 Odpowiedzi: 27    Wyświetleń: 1758
  • Dwukanałowy generator DDS 40MHz na bazie AD9834

    AVE... Ktoś już zbadał, jak się zachowują te układy po przetaktowaniu i odkrył, że pracują dobrze do 115-120MHz., pod warunkiem zapewnienia amplitudy na wejściu zegarowym na poziomie 2-3Vp-p. Analog Devices ustalił fmax na poziomie 75MHz jako maksymalną częstotliwość gwarantującą pracę układu przy minimalnym napięciu zasilania i minimalnej amplitudzie...

    DIY w budowie   03 Sie 2021 21:31 Odpowiedzi: 57    Wyświetleń: 9648
  • Dwa generatory z kitów - czy bardziej precyzyjne elementy poprawią parametry?

    AVE... Z generatorami DDS i ich "dokladnością" sprawa wygląda tak: 1. Precyzja częstotliwości generowanego sygnału zależy tylko od częstotliwości dochodzącej do samego układu DDS. Jeśli do okładu DDS dotrze sygnał stabilizowany do ±1ppm, to sygnał wyjściowy będzie stabilny w tym samym stopniu. 2. Kształt i amplituda sygnału (oraz różne zniekształcenia)...

    Początkujący Elektronicy   15 Cze 2019 22:27 Odpowiedzi: 12    Wyświetleń: 483
  • [FPGA, VHDL] Dwie domeny zegarowe, błędy "Setup Time"

    Dziękuję za pomoc. Teraz po Place & Route dostaję następne błędy ale już związane z innymi sygnałami. Muszę to zacząć analizować. To może mi jeszcze doradzisz w innej części mojego projektu, ogólnie składa on się z 3 bloków: - blok odbioru danych (odbiór i zapis do BRAM) (domena 1MHz) - blok wyświetlania, wyjście VGA w możliwych 3 różnych rozdzielczościach,...

    Programowalne układy logiczne   30 Gru 2021 15:54 Odpowiedzi: 16    Wyświetleń: 918
  • Jak uruchomić i podłączyć centralę zegarową Mera Chronopuls-ES z 1983r.?

    ...wrócę do problemu, jaki mam z zegarem z USA z lat 50. minionego wieku. Jest napędzany silnikiem na 115 V 60 Hz...Ja zasilam oczywiście 115 V, ale 50 Hz, co powoduje znaczne jego opóźnienie. Takie zegary są napędzane silniczkiem synchronicznym, więc bez jakiejś przetwornicy częstotliwości się nie obejdzie. Poniżej masz przykład prostego generatora...

    Elektronika Retro   20 Paź 2023 11:27 Odpowiedzi: 19    Wyświetleń: 585
  • GENERATOR STANOW LOGICZNYCH

    CZY MA KTOS SCHEMAT IDEOWY ALBO WIE GDZIE MOZNA ZNALESC INFORMACJE NA TEMAT GEN. STANOW LOG. KTOREGO PRZEBIEG WYJ. SLUZY DO TAKTOWANIA WEJSC ZEGAROWYCH LICZNIKOW 74LS90 , 92, 93 DZIEKUJE

    Warsztat elektronika   21 Maj 2003 21:49 Odpowiedzi: 4    Wyświetleń: 1433
  • Generator dwoch przebiegow prostokatnych na 8051

    Hmm, są 51 Dallasa o skróconym cyklu maszynowym do 4 cykli zegarowych i 33MHz taktowania, czyli cykl maszynowy ma około 0,12us. Są jeszcze AVR (16MHz, 1 cykl zegara - 1 cykl maszynowy). Wystarczy? A jak nie to można szukać dalej i coś się znajdzie na pewno;-)

    Mikrokontrolery   23 Maj 2003 08:28 Odpowiedzi: 7    Wyświetleń: 1597
  • Włącznik do generatora w.cz.

    Zna ktoś schemat układu który wykorzystywał by mikroprzyciski do sterowania tranzystorem (włączanie i wyłączanie), jedno wciśnięcie tranzystor pracuje a następne nie. Zastosuj po prostu przerzutnik typu T (technika cyfrowa). Jako, że gotowego takiego przezutnika raczej nie dostaniesz, to robi się go z przerzutnika J-K, np. bierzesz układ scalony 7473...

    Radiotechnika Serwis   05 Gru 2003 20:26 Odpowiedzi: 1    Wyświetleń: 978
  • Jak zbudować tzw clock ( generator sygnału prostokątnego)

    :arrow: Pawelkam Nie piszesz dokładnie o jaki sprzęt chodzi ale domyślam się ,że nie masz kompletu .Prawdopodobnie to różne protokoły i nie tędy droga .Napisz dokładnie jaki masz sprzęt i czy tego sygnału zegarowego Ci brakuje czy masz go uszkodzony ,bo to różne tematy. :arrow: olekewaagata Zbudować generator to nic wielkiego :( a jak chcesz zsynchronizować...

    Radia Samochodowe Serwis   24 Maj 2007 11:37 Odpowiedzi: 9    Wyświetleń: 2790
  • generator kwarcowy do mikrokontrolera

    A po co stosujesz taki generator zegarowy?

    Mikrokontrolery   26 Maj 2005 19:29 Odpowiedzi: 18    Wyświetleń: 11011
  • generator zadanej liczby impulsów 1 : 255

    Licznik i komparator decydują o liczbie impulsów. Stan układu jest zapamiętany w dodatkowym przerzutniku. Jeśli wejdzie w stan pracy to impulsy są podawane na licznik, jeśli jest w stanie oczekiwania to nie. W stanie oczekiwania licznik jest w stanie resetu. Impuls zewnętrzny inicjuje stan pracy, a sygnał z komparatora stan oczekiwania. Sygnał wyjściowy...

    Początkujący Elektronicy   26 Sty 2006 00:37 Odpowiedzi: 20    Wyświetleń: 4463
  • generator 60Hz do zegarka

    Z tego co pamiętam to jeśli chodzi o układy zegarowe do zegarów cyfrowych, to układy były uniwersalne na 60 i 50 Hz. Przełączanie było jedną z nóżek układu.

    Projektowanie Układów   10 Lis 2004 21:47 Odpowiedzi: 7    Wyświetleń: 3676
  • generator - Config Timer1 = Pwm .... problem:)

    Witam chce użyć procesora AT90S2313 do sterowania szybkości silnika. I chyba najlepiej do tego będzie nadawał się licznik timer1 skonfigurowany, jako PWM. Czytałem o tym w pomocy, ale jakoś niewiele mi to gada:( z jakiego pinu będą wychodzić te impulsy??? Z T1(PD5) czy OC1(PB3) czy jeśli wpisze te „dosconnet” to wyjściem biedzie T1 a jeśli...

    Mikrokontrolery   18 Lis 2004 22:14 Odpowiedzi: 2    Wyświetleń: 2502
  • Generator kwarcowy 36Mhz. Czy układ 74hc04 może być? AT89C52.

    oscyloskop z EP. Kit AVT 527. Autor twierdzi że działa bez problemu. układ rusza mi na zbudowanym generatorku (36Mhz) przeze mnie ale tylko wtedy gdy mam podpięty zewnętrzny oscyloskop do wejścia zegarowego procesora. nie wiem czemu tak jest. jak odepnę sondę procek zaraz staje.

    Projektowanie Układów   09 Kwi 2005 21:21 Odpowiedzi: 11    Wyświetleń: 1789
  • Generator na 51 nietypowy kształt ||pomocy||

    Ja zrobiłbym to w następujący sposób: Częstotliwość próbkowania ustawiłbym tak, by była większa od częstotliwości sygnału jakieś 10 - 100 razy (im więcej, tym mniejsze zniekształcenia sygnału). Oczywiście wszystkie próbki sygnału trzymasz w jakiejść tablicy. Zamiast przetwornika C/A wykorzystałbym PWM (to można zaimplementować softwarowo) o częstotliwości...

    Mikrokontrolery   17 Kwi 2005 07:40 Odpowiedzi: 9    Wyświetleń: 1535
  • programowany generator impulsów

    Dzieki za zainteresowanie. Pokrótce- 1./częstotliwość-ok 1 kHz 2./Wypełnienie-1:1 3./Ilość wyjść -1 4./poziom sygnału-standartowy TTL 5./zaprogramowana ilość impulsów jako jedna seria,nastepnie zerowanie i wprowadzenie nastepnej wartości/z zakresu 1 do 100 000/ ./Ideałem byłaby mozliwość dodatkowa-wyświetlenie na wyswietlaczu LCD 2x16,w górnej linii...

    Projektowanie Układów   05 Lis 2005 20:48 Odpowiedzi: 2    Wyświetleń: 3330
  • ATTINY13 jako generator 600kHz (BASCOM)

    Program o który mi chodzi miałby działać tak: mierzę napięcie na jednym z pinów, jeśli jest ono mniejsze niż pewna określona wartość to na innym pinie pojawia się bardzo szybki przebieg prostokatny, powiedzmy 600kHz. Napięcie wzrasta- na wyjściu jest 0. Teoretycznie można by to zrealizować za pomoca przerwania: Maksymalna częstotliwość TINY13 to 9,6Mhz....

    Mikrokontrolery   07 Kwi 2006 21:57 Odpowiedzi: 9    Wyświetleń: 4358
  • Generator sygnału prostokątnego...

    To mam byc zwykłe wejscie zegarowe do układu CMOS , pracowac ma w warunkach domowych:P Chodzi mi o najprostrza konstrukcje generatora 1Hz.

    Projektowanie Układów   05 Maj 2006 22:50 Odpowiedzi: 13    Wyświetleń: 3957
  • Generator na wyjsciu attiny2313

    Po pierwsze musisz wyłączyć fusebit CKDIV8 dzielący sygnał zegarowy przez 8. Po drugie niepoprawnie podałeśfusebity cksel. 1 oznacza bit niezaprogramowany a 0 zaprogramowany. Pewnie używasz programatora który nie pokazuje 0 i 1 tylko "ptaszki" czy coś w tym stylu. Co do programu to polecam użyć tryb ctc i ustawić wyjście sygnału na pb2. Nie bedziesz...

    Mikrokontrolery   30 Wrz 2006 23:17 Odpowiedzi: 14    Wyświetleń: 3001
  • Generator na mikrokontrolerze

    Dziekuje bardzo za odpowiedzi. Zdaje sobie sprawe ze z samym potencjometrm moglbym miec problemy z ustaleniem czestotliwosci dltego chce to zrobic tak ze za pomoca potencjometru bedzie zmienialo sie czestotliwosc o pewien krok np. co 10Hz natomiast za pomoca dwoch klawiszy bedzie mozna zmieniac czestotliwosc w gore lub dol o 1Hz. Konwersje chce zrobic...

    Mikrokontrolery   20 Lut 2007 22:28 Odpowiedzi: 12    Wyświetleń: 1690
  • Generator przebiegów prostokątnych z wyborem częstotliwości

    Zrobiłem coś takiego! Pozwoliłem sobie jednak wkleić 2 pliki ponieważ nie mam pewności czy taki dzielnik częstotliwości jest wykonany prawidłowo. Najbardziej niepokoi mnie 5 linijka z przebiegami ponieważ na skutek podawania z pierwszego licznika najstarszego bitu na wejscie zegarowe drugiego oczywiście na obu pojawiają się przebiegi narastające, a...

    Początkujący Elektronicy   24 Maj 2007 17:50 Odpowiedzi: 13    Wyświetleń: 4758
  • Generator na NE555 +problem

    witam ja z takim pytaniem: jakj przerobic ten uklad zeby byl regulowany zakres czest wyjsciowej od ok 2,5Hz do 17Hz... ma sluzyc jako impulsy zegarowe + jakies tam diodki czy wystarczy zamiast ktoregos opornika wsadzic potencjometr lub w szereg z nim?? pozdrawiam

    Projektowanie Układów   17 Paź 2007 07:34 Odpowiedzi: 14    Wyświetleń: 4656
  • generator przebiegu o okreslonej czestotliwosci

    Hej Poniewaz dopiero zaczynam VHDL wiec mam pytanie - czy da sie napisac program, który będzie generował mi przebieg zegarowy o ustalonej częstoliwości ? np 16.9344 MHz ? Sprawa jest dla mnie dość istotna, bo jeśli jest to mozliwe, to w mojej PCB nie będę musiał wrzucać kwarcu, natomiast w tej chwili nie mam pojecia za duzo o VHDLu stąd pytanie pzdr

    Programowalne układy logiczne   02 Sty 2008 19:25 Odpowiedzi: 2    Wyświetleń: 1341
  • Atmega8 taktowana zewnętrznym generatorem

    Do czego zmierzasz robisz zegar do szachów ;) sprecyzuj do czego ci potrzeby taki wolny sygnał zegarowy ????

    Mikrokontrolery   07 Lut 2008 09:17 Odpowiedzi: 15    Wyświetleń: 2031
  • Generator wzorcowy do częstościomierza

    ....... Za kilka dni przedstawię schemat I heterodyny odbiornika - mam na razie tylko roboczy szkic.... byłaby to "dobra baza wyjściowa" dla własnych przeróbek, gdyż dochodzę do wniosku, że dotarcie do schematu oryginalnego jest niemożliwe. ....Co do zwiększenia zakresu odbioru ponad 225 kHz mam wielkie wątpliwości - problem śmieci inteferencyjnych....

    Radiotechnika Początkujący   12 Mar 2010 16:24 Odpowiedzi: 75    Wyświetleń: 37406
  • Generator kwarcowy-pytanie

    Zobacz za układem Collpitsa lub innymi generatorami . Ten układ jest projektowany pod kwarce zegarowe . 4 MHz to za wysoko nie ten typ kwarcu i nie zapracuje . Pozdrawiam! :))

    Początkujący Elektronicy   14 Lut 2009 22:00 Odpowiedzi: 2    Wyświetleń: 2814
  • Najprostszy generator 2 lub 4 MHz

    Witam Na wstępie chcę zaznaczyć, że przeszukałem forum i google pod kątem problemu, ale po 3 godzinach bolą mnie już oczy tak, że muszę zapytać. Poszukuję najprostszego sposobu na wygenerowanie sygnału zegarowego "system clock" o częstotliwości 2MHz. Wiem, że na TLC555 można generować do 2MHz, ale czy jest jakiś sposób, aby zrobić to jeszcze prościej?...

    Początkujący Elektronicy   31 Mar 2009 14:52 Odpowiedzi: 9    Wyświetleń: 3194
  • ECAS w Magnum-brak pracy, gdzie jest generator?

    Na początku sprawdź czy masz zasilanie na module, bo żaden CAN ci nic nie pomoże. Moduł jest umieszczony w skrzynce a raczej w skrzyni plastikowej tej za kabiną po prawej stronie ramy pod podestem. Sprawdź jaki jest numer ECAS, wejdź na stronę "WABCO" dobierz schemat podłączenia - sprawdź normalnym miernikiem a nie komputerem czy są zasilania, masy...

    Samochody Elektryka i elektronika   08 Kwi 2010 16:24 Odpowiedzi: 7    Wyświetleń: 2427
  • Generator sinusoidy z wskaźnikami

    To nie są liczniki tylko rejestry przesuwne (za każdym impulsem zegarowym dana 4 przerzutników przepisuje stan ze swojego wejścia na wyjście, co daje efekt przesuwania informacji Układ w tym przykładzie z Horowitza pracuje w takim cyklu: 0 0000 0000 1 1000 0000 2 1100 0000 3 1110 0000 4 1111 0000 5 1111 1000 6 1111 1100 7 1111 1110 8 1111 1111 9 0111...

    Nauka Elektroniki, Teoria i Laborki   24 Mar 2010 18:27 Odpowiedzi: 5    Wyświetleń: 2831
  • Niewłaściwy przebieg na wyjściu generatora kwarcowego.

    Całość juz działa sprawnie, jak będę miał trochę wolnego czasu to opisze dokładnie co było nie tak. Sygnał zegarowy oczywiście jest ok (sprawdziłem na 200MHz analogowym HP). Uwaga do wszystkich używających tego dds. Ten przedziwny przebieg na wyjściu po załączeniu zasilania spowodowany jest niezainicjalizowanymi rejestrami, po wydaniu komendy reset...

    Projektowanie Układów   22 Maj 2010 11:24 Odpowiedzi: 10    Wyświetleń: 3821
  • Generator DDS na AD9851 i ARM LPC2138

    W tytule jest byk. AD9851 może generować sygnał o możliwych do przyjęcia parametrach do maksimum 80 MHz a nie jak Autor pisze do 200 MHz. Częstotliwość 200 MHz jest częstotliwością zegarową dla AD9851.

    DIY Zagranica   27 Gru 2010 19:31 Odpowiedzi: 6    Wyświetleń: 7942
  • [stm32] jak najprościej zrobić generator prost. 24MHz

    Witam, Potrzebuję przebiegu zegarowego 24 MHz, bez żadnych dodatków, po prostu ma cały czas tak tykać. I chciałabym się zapytać jak najprościej do tego podejść czy PWM? a możne dałoby się zrobić REMAPowanie tak, aby podłączyć jakiś z zegarów bezpośrednio do jednego z Pinów? No i najbardziej dręczące mnie pytanie, czy to w ogóle jest możliwe na moim...

    Mikrokontrolery ARM   08 Sty 2011 18:12 Odpowiedzi: 2    Wyświetleń: 1554
  • Moduł generatora VGA - Verilog

    Może tak. Opis zrób z asynchronicznym resetem. Zamiana z asynchronicznego na synchroniczny to tylko dodanie dodatkowej flagi dla syntezera XST (-async_to_sync), albo w GUI ISE na PPM na Synthesize - XST. Pełny synchroniczny reset w systemie to brak resetu jeśli nie ma domeny zegarowej. Jak używasz opisu logiki synchronicznego z asynchronicznym resetem,...

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4725
  • jak zrobic prosty 3 fazowy generator PWM?

    Przecież właśnie o to się kwestia rozbija - czym wygenerować 3 idealnie sinusoidalne przebiegi przesunięte w fazie co 120 stopni. Prosto się nie da, tanio tym bardziej. Nie muszą być sinusoidalne - wystarczy, że będą schodkowe po obwiedni sinusoidy, 'problem' rozwiązany już bardzo dawno i opisany w stosownej literaturze. Sam na początku lat '70 ub.w....

    Początkujący Elektronicy   20 Gru 2011 17:42 Odpowiedzi: 10    Wyświetleń: 3532
  • NXP LPC1111/2 - stabilność na wewnętrznym generatorze RC

    W trybie slave I2C nie generuje zegara, tylko go dostaje od mastera, więc co to za różnica czy jest kwarc czy go nie ma? Zresztą nawet jakby I2C było masterem, to w każdej komunikacji która ma sygnał zegarowy (I2C, SPI, I2S, ...) jego dokładność nie ma specjalnego znaczenia. Jeśli byś chciał korzystać z UART inaczej niż tylko do ISP to faktycznie kwarc...

    Mikrokontrolery ARM   09 Maj 2012 09:13 Odpowiedzi: 5    Wyświetleń: 1164
  • Przełączanie generatorów dla mikrokontrolera

    Kolego dondu. Ja pisałem o zmianie częstotliwości taktowania z zewnątrz. OK, faktycznie niewłaściwie zrozumiałem Twój post. Pierwszy raz spotkałbym się z takim rozwiązaniem, że ktoś zmienia rezonatory kwarcowe "w locie" ( lub źródło zegara ). Oj, na pewno są lub były w przeszłości takie rozwiązania tylko na nie nie trafiłeś. W końcu po to właśnie istnieją...

    Początkujący Naprawy   24 Maj 2012 22:06 Odpowiedzi: 11    Wyświetleń: 1850
  • "generator impulsów" do licznika Johnsona

    Ma dużo nóżek, więc niewygodny do lutowania - mniej na niego chętnych; wymaga szerszego opakowania - mniej wygodny do trzymania w sklepie. Na dodatek daje się zastąpić innymi układami. Widywałem go w wersji standard (74154), ale nie H, S, czy LS - a teraz wersja standard niemodna, bo dużo prądu żre. Jak chesz mieć 20 wyjść, to 74154 nie wystarczy -...

    Projektowanie Układów   16 Cze 2012 17:17 Odpowiedzi: 16    Wyświetleń: 3985
  • Generator kwarcowy 48MHz dobór elementów.

    Praktycznie- trzeba "wejść" na stronę producenta kwarców i zobaczyć ich aktualne możliwości produkcyjne. Na kwarcu widnieje jedynie napis:   SSS 48.000 Aby ocenic jakosc takiego sygnalu wypadaloby widziec przynajmniej do 5-ej, 7-ej harmonicznej. O analizie Fouriera slyszal? Nie wiem czy jakość sygnału musi być jakaś super (jak już pisałem ma to być...

    Początkujący Naprawy   18 Paź 2012 12:40 Odpowiedzi: 33    Wyświetleń: 11940
  • atmega8 - Zablokowane atmega - generator zewnętrzny

    Witam. Postanowiłem zająć się ostatnio tematem związanym z "zablokowanymi' mikrokontrolerami. Mam kilka egzemplarzy Atmega8 i atmega 168, które w jakiś tam sposób podczas programowania zostały "zablokowane. Poczytałem w necie posty na temat fuse doktor'ów i innych metod ich reanimcaji. Ponieważ nie posiadam żadnego urządzenia typu resuerektor czy programator...

    Mikrokontrolery   28 Lis 2012 09:26 Odpowiedzi: 4    Wyświetleń: 2439
  • Generator DDS na FPGA

    To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można na nim zrobić rzeczy, przy których procesory wymiękają. Prezentowany...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19698
  • Generator kwarcowy w Hz - szukam prostego układu

    Tu jest układ zegarowy za 3,50 zł PCF8593T - Układ czasowy RTC SO8 Tylko jak wywlec z tego sygnał o odpowiedniej częstotliwości (możliwy jest zakres 32 kHz do 1 Hz).

    Początkujący Elektronicy   03 Kwi 2014 20:30 Odpowiedzi: 20    Wyświetleń: 4422
  • licznik motogodzin - generator kwarcowy

    Ale to jest prawdziwy licznik mth, ma wejście impulsowe? Jest zależny np. od obrotów silnika? Czy tylko licznik czasu pracy (podajesz zasilanie i liczy godziny zegarowe)? A co tam jeszcze w środku jest, jakiś mikrokontroler, eeprom? Na zdjęciu za dużo nie widać.

    Projektowanie Układów   27 Kwi 2020 16:45 Odpowiedzi: 15    Wyświetleń: 2835
  • Programowany Generator częstotliwości rzędu kilku MHz- element

    interesuje mnie zakres, stabilność, krok i sposób programowania. Zarówno DDS-ów jak i PLL-i jest na rynku wiele więc napewno coś będzie pasowało, stabilność zależna od sygnału zegarowego który dostarczysz z generatora kwarcowego, krok w DDS'ach chyba mniejszy ale kosztem jitteru, bo przebieg i tak zmienia sie tylko w chwilach określonych taktowaniem,...

    Projektowanie Układów   14 Sie 2015 18:50 Odpowiedzi: 5    Wyświetleń: 627
  • Generator impulsów o zadanej częstotliwości- 8051

    Fakt jedyne wyprowadzenia na których tam występuje sygnał 12MHz to wyprowadzenia rezonatora kwarcowego ;) Krok maszynowy 51 to 12 cykli zegarowych więc w szczycie to może tam wystąpić 1MHz ;)

    Mikrokontrolery   30 Cze 2016 18:29 Odpowiedzi: 3    Wyświetleń: 933