REKLAMA

licznik asynchroniczny modulo

Znaleziono około 80 wyników dla: licznik asynchroniczny modulo
  • Licznik asynchroniczny z ograniczeniem.

    Witam, Mam problem z licznikiem asynchronicznym. Standardowo liczy modulo 16, ale chciałem zaprojektować w Active-HDLu z możliwością ograniczania. Jedno wejście 4bitowe określałoby do ilu ma zliczać (np. do 4, 6, 9 czy 14) i nie mam pojęcia jak to rozwiązać. Prosiłbym o jakieś rady, uwagi, podpowiedzi itp. Pozdrawiam

    Projektowanie Układów   25 Lis 2014 09:56 Odpowiedzi: 12    Wyświetleń: 4142
  • REKLAMA
  • licznik na GAL pytanie teoretyczne

    uscislijmy specyfikacje: uklad ma zliczac sygnal 'impulsy_do_zliczania' w gore, jesli aktywny jest sygnal 'licz_w_przod' i w dol, jesli aktywny jest sygnal 'licz_w_tyl'; co ma zrobic, jesli oba sygnaly kierunku liczenia sa aktywne ? jesli oba nieaktywne, to zapewne ma tez nie zliczac; po kazdym doliczeniu sie do 1000 ma sie uaktywnic kolejne wyjscie,...

    Programowalne układy logiczne   14 Kwi 2009 12:51 Odpowiedzi: 13    Wyświetleń: 2393
  • Licznik modulo 73 na układach 7490 i 7493

    W jakim kodzie ma liczyć ten licznik? BCD czy binarnym? Bo jeżeli w BCD to z licznika 7490 najlepiej użyć 4 bitów, a on sam się wyzeruje po przekroczeniu stanu "9". Dzięki temu mamy już licznik cyfr jedności od "0" do "9". Wyjście QD tego licznika podłączamy do wejścia CLK licznika 7493, z którego używamy tylko 3 najmłodsze bity. Będzie to nasz licznik...

    Początkujący Elektronicy   20 Paź 2017 08:34 Odpowiedzi: 14    Wyświetleń: 2223
  • licznik asynchroniczny o poj. 13 na przerz. jk

    A nie mozna tego zrobic prosciej? Wykorzystujesz przeciez liczniki z wejsciem zerujacym. Wszystkie J=K=1. Wejscia CLK z wyjsc poprzedniego licznika. Wyjscia przerzutnikow Q3A, Q2B, Q11A (stan 1101=13) na wejscia bramki NAND trojwejsciowej. Wyjscie bramki NAND do polaczonych wejsc ~CLR tych czterech przerzutnikow. W sumie uklad liczy modulo 13 (stany...

    Początkujący Elektronicy   12 Cze 2007 18:39 Odpowiedzi: 8    Wyświetleń: 3456
  • Schemat licznika 0-1-2-3-4-1-2-4-8-4-3-2-1-0 na przerzutnikach

    Chciałbym coś dodać w uzupełnieniu do wypowiedzi kolegi _jta_. Generalnie można tu wyróżnić 13 stanów. Potrzebne są więc cztery przerzutniki (np. JK). Odpowiednio można stworzyć funkcję przejść, która steruje poszczególne wejścia J i K (zależnie od aktualnej wartości na wyjściach Q, by przejść do nowej wartości). Potrzeba zatem osiem tabel Karnougha....

    Początkujący Elektronicy   22 Maj 2020 09:59 Odpowiedzi: 3    Wyświetleń: 810
  • REKLAMA
  • Licznik modulo 204 metodą skracania cyklu liczników + zatrzask RS

    problem zaczyna się dopiero przy zastosowaniu owego zatrzasku RS wydłużającego czas zerowania. Pierwszy raz spotykam się z koniecznością zastosowania takiego mechanizmu i nie mam pojęcia, jak go zaimplementować, dlatego proszę o pomoc w jego implementacji. Potrzeba tego wydłużania zerowania dotyczy zjawisk występujących w realnych układach tego typu,...

    Nauka Elektroniki, Teoria i Laborki   11 Sty 2022 18:22 Odpowiedzi: 4    Wyświetleń: 624
  • Licznik asynchroniczny mod 9 z przerzutnikami D i bramkami

    Hej, Na zajęciach musieliśmy zbudować licznik asynchroniczny modulo 9 z przerzutnikami D i bramkami. Zarówno dwa warianty: 1) Zliczający do przodu 2) Zliczający do tyłu Z modulo 16 oraz modulo 8 nie ma najmniejszego problemu, natomiast nie wiem jak teraz z niego zrobić modulo 9 (zarówno jak i 10-15). Od czego tutaj powinienem zacząć?

    Nauka Elektroniki, Teoria i Laborki   15 Maj 2021 17:26 Odpowiedzi: 2    Wyświetleń: 684
  • Szukam schematu licznika asynchronicznego modulo 25 rewersyjnego w Digital Works

    Poszukuje schematu licznika asynchronicznego modulo 25 rewersyjnego. Mam zwykły asynchroniczny modulo 25 lecz po przerobieniu nie odlicza w dół od górnej wartości. Tworze to na przerzutnikach JK w digital works

    Początkujący Elektronicy   24 Cze 2021 09:25 Odpowiedzi: 0    Wyświetleń: 207
  • REKLAMA
  • Proszę o pomoc modulo 11 i modulo 12

    Hej. Napisz czy to ma być licznik synchroniczny , asynchroniczny czy synchroniczno- asynchroniczny .....szeregowy ,równoległy itd...

    Początkujący Elektronicy   29 Maj 2006 18:12 Odpowiedzi: 5    Wyświetleń: 4779
  • Zaprojektować licznik MODULO 17 lub inny na JK-MS Na EGZAM !

    Ogólnie o licznikach: 1. Ilość przerzutników potrzebnych do realizacji licznika modulo N M=log_2{N}=\frac{log_{10}{(N)}}{log_{10}... Otrzymaną liczbę zaokrąglamy W GÓRĘ do najbliższej całkowitej. log_2(17)\approx4.087 po zaokrągleniu = 5 czyli potrzeba 5 przerzutników. 2. Licznik modulo N liczy od 0 do N-1. Czyli do wyzerowania go potrzebujesz: wykrywanie...

    Początkujący Elektronicy   05 Wrz 2005 23:53 Odpowiedzi: 17    Wyświetleń: 6815
  • Asynchroniczny licznik za pomocą tabeli przejść

    Mam do zaprojektowania licznik asynchroniczny modulo 9 za pomocą przerzutników JK, ale ma on powstać przez ustalenie tabeli przejść a nie przez proste łączenie dwójek liczących. Jak zrobić licznik synchroniczny za pomocą tabeli przejść wiem i jak asynchroniczny przez proste łączenie dwójek liczących też wiem. W "Układy Scalone TTL w systemach cyfrowych",...

    Początkujący Elektronicy   15 Lis 2009 05:35 Odpowiedzi: 8    Wyświetleń: 5243
  • EWB licznik asynchroniczny modulo 22

    Witam, mam mały problem z podłączeniem w EWB 5.12 modulo 22 Dwie bramki typu 93 Tutaj screen do tego co zrobiłem. Wiem, że musze 1 bramke zrobić do 16 no i się zgadza ale po tych 16 powinno iść jeszcze 5. Czyli kończy się F i nagle 1,2,3,4,5 i 0 i od nowa. Prosze o pomoc bo w poniedziałek mam sprawdzian symulacyjny na UTK. Zmień sposób wklejenia grafiki:...

    Software serwis   03 Gru 2011 16:06 Odpowiedzi: 0    Wyświetleń: 1041
  • Licznik asynchroniczny modulo 36 liczący w kodzie BCD na przerzutnikach JK

    Witam, zrobiłem licznik asynchroniczny mod 36 na JK i do końca nie działa jak powinien. Otóż na początku liczy od 0 do 35 i się resetuje, ale tu zaczyna liczyć od 6 do 35 znowu się resetuje i po raz kolejny liczy od 6 do 35 i tak już w kółko. Poniżej schemat, czy mógłby ktoś poprawić, albo chociaż wytłumaczyć mój błąd?

    Początkujący Naprawy   16 Cze 2013 15:33 Odpowiedzi: 0    Wyświetleń: 2838
  • Licznik asynchroniczny modulo 7 metodą podziału liczby

    Witam. Założyłem temat, ponieważ nigdzie w internecie nie mogłem znaleźć odpowiedzi na to pytanie. Czy jest możliwe złożenie licznika asynchronicznego na przerzutnikach JK mod 7 opartego na metodzie podziału liczby tak aby liczył w górę albo w dół w kodzie dwójkowym? Bez używania resetu oraz bez dodatkowych bramek logicznych. Zależy mi na zdaniu ludzi...

    Początkujący Naprawy   05 Wrz 2013 11:38 Odpowiedzi: 0    Wyświetleń: 1491
  • REKLAMA
  • Licznik asynchroniczny MODULO 12

    Witam, potrzebuję pomocy z zaprojektowaniem licznika asynchronicznego MODULO 12 na Podstawy Techniki Cyfrowej. Mógłby mi ktoś pomóc w wykonaniu tego licznika albo chociaż dać jakieś wskazówki Pozdrawiam. Daniel

    Nauka Elektroniki, Teoria i Laborki   25 Lis 2016 12:33 Odpowiedzi: 1    Wyświetleń: 1395
  • Jak połączyć liczniki 7490 i 7493 w Proteusie do liczenia modulo 99?

    Cześć, mam problem z zadaniem na laboratoria. Niestety nie zostało to nam nigdzie wcześniej wyjaśnione, a instrukcje, które dostaliśmy są po prostu śmieszne. Połączyć szeregowo liczniki asynchroniczne (część młodsza 7490 i część starsza 7493), tak aby liczyć modulo 99. Wykorzystać przerzutnik asynchroniczny negacja(SR), bramki logiczne i multiplekser....

    Nauka Elektroniki, Teoria i Laborki   10 Maj 2020 23:20 Odpowiedzi: 7    Wyświetleń: 579
  • Pilnie potrzebuje licznika asynchronicznego modulo12 na 7492

    Witam Jestem zielony z tego tematu.. Potrzebuje narysować licznik modulo 12 ma układzie 7492. Znalazłem na 7490 a na 7492 właśnie nie :cry: Potrzebuje taki licznik łącznie z przebiegiem i tabelką... pozdrawiam

    Początkujący Elektronicy   07 Mar 2006 23:38 Odpowiedzi: 1    Wyświetleń: 1356
  • Licznik asynchro modulo 7 - zaprojektowanie

    Witam Próbuję stworzyć licznik asynchroniczny liczący do przodu mod7 z pełnego mod8. Stworzyłem coś takiego jak poniżej mianowicie, gdy pojawi się na wyjściu "6" wtedy bramka zeruje obydwa przerzutniki. Powiedzcie Mi, czy dobrze to zaprojektowałem, czy jest gdzieś jakiś błąd ?

    Początkujący Elektronicy   21 Maj 2006 15:21 Odpowiedzi: 3    Wyświetleń: 2726
  • Czy istnieje coś takiego jak modulo 60?

    Pozostaje jeszcze zwykły licznik np. 8 bitowy i komparator, jak licznik doliczy do 59 to komparator (musi być na tyle ustawiony) resetuje licznik z wejścia asynchronicznego. tu miales na mysli chyba komparator na 60 a nie na 59. Chodzi o licznik modulo 60 a nie 59. W sumie racja bo się będzie kasowało asynchronicznie...

    Mikrokontrolery   06 Wrz 2006 19:20 Odpowiedzi: 5    Wyświetleń: 2642
  • SYNCHRONICZNY Licznik modulo 7

    Witam, nie podałeś ważnej informacji, mianowicie czy ma to być licznik asynchroniczny, czy synchroniczny i w jakim kodzie ma liczyć. Pozdrawiam

    Początkujący Elektronicy   24 Paź 2006 19:56 Odpowiedzi: 8    Wyświetleń: 9954
  • Licznik asynchroniczny modulo 24

    Witam potrzebuje pomocy muszę zaprojektować na zajęcia licznik asynchroniczny modulo 24 wraz z przebiegami czasowymi, a nie mam o tym zielonego pojęcia!! Pomózcie proszę !!!!!

    Początkujący Elektronicy   16 Maj 2007 10:11 Odpowiedzi: 8    Wyświetleń: 4808
  • Licznik modulo dla dowolnego n na przerzutnikach JK.

    No jak budujesz licznik asynchroniczny, to z wyjścia Q pierwszego przerzutnika JK podajesz sygnal na wejście zegarowe drugiego przerzutnika JK. Wejścia J oraz K trzeba podłączyć do 1 logicznej, wtedy mamy tzw. dwójkę liczącą. Natomiast liczniki synchroniczne projektuje się trochę inaczej, nie jest to trudne tylko że trzeba się narobić. Jeżeli mają to...

    Początkujący Elektronicy   19 Kwi 2009 11:25 Odpowiedzi: 5    Wyświetleń: 10735
  • Licznik asynchroniczny

    ale mam takie zadanie : Zaprojektować asynchroniczny licznik mod 11 zliczający do przodu w NKB. Zrealizowac układ na przerzutnikach JK ze zboczem rosnącym i bramki NAND. Zastosowac metode skracania cyklu zliczania. jesli twoj licznik ma reagowac/zliczac na zboczu rosnacym, musisz usunac negacje na linii clk pierwszego przerzutnika; nie wiem, co to...

    Początkujący Elektronicy   11 Gru 2007 16:49 Odpowiedzi: 7    Wyświetleń: 9631
  • zapytanie o licznik asynchroniczny modulo 10

    Witam! mam pytanie odnosnie licznika mod 10, ktory znalazlem w necie... schemat przesylam w zalaczniku, jak i podaje z jakiej stronki go wzialem: pytanie tyczy sie teorii, ktora jest tam napisana: "Licznik ma dwa bramkowe wejścia, z których jedno [wejścia R0(1) i R0(2)] służy do ustawiania licznika w stan 0, a drugie [wejścia R9(1) i R9(2)] służy do...

    Początkujący Elektronicy   21 Maj 2009 17:59 Odpowiedzi: 11    Wyświetleń: 7632
  • Licznik asynchroniczny modulo

    Witam, od kilku dni staram się rozgryźć na czym polega projektowanie liczników jednak bez wyraźnych efektów, dlatego postanowiłem poprosic o pomoc. Zadanie polega na zaprojektowaniu licznika asynchronicznego modulo 18 z dwoma przeskokami. Do tego należy sporzadzic wykresy czasowe i narysować przerzutniki. Sety, resety oraz zbocza reagują tak jak zaprojektujemy....

    Nauka Elektroniki, Teoria i Laborki   02 Cze 2009 12:15 Odpowiedzi: 4    Wyświetleń: 10743
  • Licznik modulo 32 zliczający od 10, Jak zakończyć po imp. 20

    Zacznijmy od początku. Masz pięć przerzutników JK. Próbujesz zbudować licznik asynchroniczny liczący od 10 do 20. 21 to binarnie 10101 i dla takiej kombinacji stanów licznik musi zostać ustawiony na 10 czyli 01010. Na chłopski rozum bramka and 3 wejściowa, do każdego jej wejścia podłączasz co drugie wyjście przerzutników(0,2,4) i wyjście takiej brameczki...

    Początkujący Naprawy   12 Kwi 2010 18:16 Odpowiedzi: 4    Wyświetleń: 5581
  • Licznik asynchroniczny modulo 7/12

    Witam, Projektuję licznik asynchroniczny modulo 7/12 Wiem jak zrobić licznik modulo 7 i jak zrobić modulo 12. Jednak nie jestem pewien jak zrobić, układ żeby przerzutniki działały raz jako modulo 7 a raz jako modulo 12. Według założeń do wyboru zakresu mam użyć dodatkowe wejście. Zrobiłem siatkę dla funkcji 5 zmiennych (4 wyjścia przerzutników i przełącznik)...

    Początkujący Elektronicy   25 Paź 2011 23:28 Odpowiedzi: 9    Wyświetleń: 7630
  • Układ asynchroniczny modulo 3

    Witam serdecznie, W zadaniu na następne laboratoria mam do wykonania pewne układy asynchroniczne. Chciałem zadać parę ogólnych pytań, bo mam problem z wystartowaniem. 1. Zad 4a z załącznika: nie bardzo rozumiem na jakiej zasadzie ma to działać, ale zdaje się, że dużo mówią o tym załączone do zadania przebiegi. Mam mętlik w głowie, jako że jestem świeżo...

    Początkujący Naprawy   22 Paź 2012 17:16 Odpowiedzi: 5    Wyświetleń: 1779
  • Technika Cyfrowa (liczniki synchroniczny i asynchroniczny)

    Witam, przepraszam jeżeli wstawiłem temat w złej sekcji. mam bardzo wielka prośbę nie mogę zrobić 2 zadania z przedmiotu w temacie, proszę o pomoc a mianowicie mam do zrobienia: 1. zaprojektować licznik asynchroniczny mod 8 do tyłu na przerzutniku D. 2. zaprojektować licznik synchroniczny binarny modulo 7 do przodu. przerzutniki dowolne nie wiem jak...

    Nauka Elektroniki, Teoria i Laborki   17 Sty 2013 23:44 Odpowiedzi: 1    Wyświetleń: 2706
  • Licznik modulo 3, układ nie działa

    Witam, mam do zaprojektowania układ asynchroniczny zliczający modulo 3 impulsy wejściowe z 1 wejściem i 1 wyjściem zgodnie z rysunkiem wykresu, który wrzuciłem. Układ zaprojektowałem na bramkach NAND jednak po sprawdzeniu w symulatorze na wyjściu, niezależnie od stanu wejścia, pojawia się 2,5V (na wejściu mam zasilanie 5V). Bardzo proszę o jakieś wskazówki,...

    Początkujący Elektronicy   20 Paź 2013 13:37 Odpowiedzi: 0    Wyświetleń: 1362
  • Licznik asynchroniczny modulo 6/11 - błąd w schemacie czy efekt hazardu?

    Witam, mam zaprojektować licznik asynchroniczny modulo 6/11 (wybór zakresu ustawia się dodatkowym wejściem). Wykorzystać mam asynchroniczne wejście RESET (0 jako aktywny stan wejścia RESET). Sporządziłem tabelę prawdy: gdzie A steruje trybem pracy 6/11. Następnie na jej podstawie stworzyłem siatkę Karnaugh dla funkcji RESET. Zbudowałem układ w symulatorze....

    Projektowanie Układów   13 Lis 2013 06:45 Odpowiedzi: 4    Wyświetleń: 3444
  • Licznik asynchroniczny modulo 8

    Witam serdecznie czy któryś z kolegów jest w stanie przenieść ten schemacik do programu electronics Workbench Multisim 10 chodzi o przebiegi czasowe dziękuje bardzo za odpowiedz

    Nauka Elektroniki, Teoria i Laborki   28 Gru 2014 15:25 Odpowiedzi: 2    Wyświetleń: 1221
  • Licznik JK modulo 5 zliczający w tył.

    Witam, mam takie pytanie, bo niedługo piszę egzamin, a nie moge nigdzie znalezc na to odpowiedzi. O ile wiem jak rysowac schematy licznikow JK i D modulo N zliczających w przód, o tyle nie wiem jak poradzic sobie z licznikami zliczającymi w tył. Da sie to w ogole zrobic na przerzutnikach asynchronicznych w schemacie szeregowym? Przykładowo mam zbudowac...

    Początkujący Elektronicy   12 Wrz 2015 13:55 Odpowiedzi: 2    Wyświetleń: 3855
  • Licznik asynchroniczny niewłaściwa detekcja stanu

    Witam , mój problem polega na tym, że licznik modulo 12 powinien zliczać w dół i po dojściu do zera ustawić się na wartosc 11. Zaprojektowałem taki licznik, niestety ale bramka AND, która ma wykrywać chwilowy stan "1111" wykrywa go za wcześnie. Podejrzewam, że ma to związek z przejściem z stanu 8 na 7, licznik jest asynchroniczny wiec AND wykrywa jedynkę...

    Początkujący Elektronicy   03 Cze 2016 18:20 Odpowiedzi: 1    Wyświetleń: 462
  • Licznik asynchroniczny - zadanie z przerzutnikami

    Hej! Pomógłby mi ktoś co i jak z zadankiem? Mam przygotować licznik asynchroniczny liczący w kodzie modulo 9 na przerzutnikach typu D. Dużo szukałem w internecie informacji na ten temat, no ale niestety nie znalazłem. Próbuje to samemu rozwiązać tak jak to było na uczelni ale kiepsko mi to wychodzi. Jak się za to zabrać bo nie za bardzo wiem o co chodzi?...

    Nauka Elektroniki, Teoria i Laborki   25 Lis 2016 23:40 Odpowiedzi: 2    Wyświetleń: 1095
  • Modulo 11 JK i 9 D schematy

    Witam potrzebuje schematu licznika asynchronicznego modulo 9 liczącego w przód z JK oraz modulo 11 również liczącego ale z D. Nie wiem do końca jak to poustawiać a na internecie nie mogłem znaleźć Pozdrawiam

    Początkujący Elektronicy   03 Wrz 2018 20:50 Odpowiedzi: 0    Wyświetleń: 690
  • Jak zacząć projektowanie licznika asynchronicznego modulo 18 na przerzutnikach JK?

    Witam. Jestem początkującą osobą każda wskazówka jest ważna. Mam pewien problem. Chciałbym zrobić licznik modulo 18. Wiem że najpierw muszę zacząć od zrobienia schematu. Nie prosze o podanie gotowców itp ale prosiłbym o jakąś pomoc w wejście w temat. 1. Od czego powinienem zacząć? Jakieś pierwsze kroki które powinienem zrobić zaczynając wogóle rysowac...

    Początkujący Elektronicy   04 Kwi 2020 15:19 Odpowiedzi: 2    Wyświetleń: 456
  • Licznik asynchroniczny modulo 9 oparty na przerzutnikach D

    Witam, Otrzymałem w ramach laboratoriów z techniki cyfrowej zadanie zaprojektowania asynchronicznego licznika modulo 9 opartego na przerzutnikach D. Podczas próby rozwiązania zadania pojawił się następujący problem: po liczbie 6 zamiast liczby 7 w symulacji pojawiają się liczby 14 i 15 (błędnie) i następnie liczby 8 i 0 (poprawnie) jak na poniższym...

    Nauka Elektroniki, Teoria i Laborki   19 Lis 2020 09:00 Odpowiedzi: 5    Wyświetleń: 1818
  • Licznik asynchroniczny modulo 4 na bazie przerzutników JK

    Hej, Generalnie uczę się do egzaminu z elektroniki i mam problem z jednym zadaniem. Muszę zrobić licznik asynchroniczny modulo 4 na bazie przerzutników JK rewersyjny - zliczający w przód lub w tył w zależności od wejścia s. Sam licznik zliczający w przód lub w tył potrafię zrobić, ale nie mam pomysłu jak zrobić, żeby zliczał w przód lub w tył w zależności...

    Początkujący Elektronicy   09 Wrz 2021 16:46 Odpowiedzi: 2    Wyświetleń: 348
  • Licznik asynchroniczny modulo 4 zliczający w dół, przerzutniki D

    Czołem, mam problem z zadaniem na studia. Miałem stworzyć licznik taki jak w temacie, co zrobiłem, ale prowadzący zajęć uparł się, że do resetów musi być podłączona jakaś bramka, pomimo, że licznik działa poprawnie i zlicza od 3 do 0. Próbowałem naprawdę wielu wariantów, przekopałem internet i naprawdę nie wiem co mam robić. Jeśli ktoś mógłby mi pomóc,...

    Początkujący Elektronicy   14 Lut 2022 14:25 Odpowiedzi: 2    Wyświetleń: 816
  • Licznik rewersyjny - Jak to działa?

    ... A ja działa zwykły licznik modulo n to już poznałem ... A nie bardzo rozumiem jak rewersyjny liczy: Kiedy w góre? Kiedy w dół? :cry: Jeśli poznałeś licznik asynchroniczny liczący w górę, zbudowany z przerzutników T (np. JK przy J=K=1) i o takim właśnie mówisz, to: a) wyjściami licznika są zawsze wyjścia Q przerzutników, b) licznik liczy w górę,...

    Początkujący Elektronicy   19 Lut 2004 21:30 Odpowiedzi: 7    Wyświetleń: 9117
  • Licznik mod 4 asynchroniczny na JK i D

    PANOWIE !!! Licznik modulo 4 liczy: 0, 1, 2, 3, 0, 1, 2 , 3 itd. I wymaga tylko dwóch przerzutników !!! W obu przypadkach to są po dwa przerzutniki połączone szeregowo: Clock -> CK0 Q0 -> CK1 W przypadku przerzutników D - robimy sprzężenia: /Q0 -> D0 /Q1 -> D1 W przypadku JK podajemy jedynki na wejścia J i K W przypadku użycia układów TTL (LS, HC,HCT)...

    Początkujący Elektronicy   13 Cze 2005 23:22 Odpowiedzi: 7    Wyświetleń: 9563
  • licznik jk asynchroniczny, rewersyjny

    generalnie licznik asynchroniczny jako rewersyjny jest dosc trudno zrealizowac. ale w przypadku licznika modulo 4 jest to ułatwione. polecam uklad '76 są to przerzutniki JK z zanegowanymi RS - łączysz do jedynki zliczanie w przód: łączysz wyjścia Q poprzedniego z CLK następnego, a wyjścia też patrzysz na Q aby liczyć w tył, możesz: połączyć CLK następnego...

    Początkujący Elektronicy   17 Kwi 2008 19:03 Odpowiedzi: 3    Wyświetleń: 3755
  • Licznik asynchroniczny z przerzutników RS

    Witam. Chciałym uzyskać informację, czy jest możliwe wykonanie licznika asynchronicznego modulo 12 przy pomocy przerzutników asynchronicznych RS. Według mnie nie, ale chciałbym zasięgnąć opinii innych, bardziej doświadczonych użytkowników. Proszę o wyrozumiałość i przepraszam, jeśli ten temat umieściłem w złym miejscu.

    Początkujący Naprawy   10 Gru 2011 17:36 Odpowiedzi: 2    Wyświetleń: 1654
  • liczniki asynchroniczne i synchroniczne

    Pokombinuje moze cos wyjdzie. Probowalem gotowca znalesc z modulo 7 czy podobnym zeby sobie przeanalizowac, ale gdzies niemoge znalesc. Dzieki za odpowiedz. Nie jak koń pod górę....idziesz do biblioteki, pożyczasz książkę Pieńkoś/Turczyński ,,Układy scalone TTL w systemach cyfrowych'' (może jest coś nowszego, nie wiem, ja mam przed sobą tę księgę wiedzy...

    Początkujący Elektronicy   14 Maj 2016 11:42 Odpowiedzi: 6    Wyświetleń: 1194
  • licznik 0-9

    A oto i schemat na układzie 7490 . Jest to licznik asynchroniczny modulo 10. Liczy od 0 - 9 Załącznik usunąłem. Był pusty. ankuch.

    Początkujący Elektronicy   14 Gru 2008 19:14 Odpowiedzi: 30    Wyświetleń: 17274
  • Liczniki

    Witam :) Potrzebuje schematów tego co poniżej :) na kartkówke z techniki cyfrowej :) 1. Zaprojektować, zbudować i sprawdzić licznik asynchroniczny liczący w przód modulo 5, na kodzie Greya a) zliczający w przód – zastosować przerzutniki D i bramki b) zliczający w przód – zastosować przerzutniki JK i bramki c) zliczający w tył – zastosować...

    Początkujący Elektronicy   13 Lis 2004 23:18 Odpowiedzi: 3    Wyświetleń: 3233
  • Liczniki typu JK i D proste pytanie a zarazem ich różnice

    Mam np do wykonania 3 bitowy licznik asynchroniczny modulo 3 liczacy w dol, zrealizowany o przerzutniki jk. Wyglada on tak I teraz pytanie czy wyglada on tak samo w oparciu o przerzutniki D ? Na wstępie moge powiedziec ze jedyne co bylo na tescie to pokazana negacja zegara dlatego reaguje na zbocze opadajace... i tu kolejne pytanie, czy D tez reaguje...

    Początkujący Elektronicy   17 Wrz 2012 12:01 Odpowiedzi: 2    Wyświetleń: 1887
  • Schemat licznika modulo 36 na przerzutnikach JK

    potrzebujesz 6 przerzutników JK (np. 3 sztuki 74ls76) i detektor stanu 35 100011 jeżeli robisz licznik synchroniczny lub stanu 36 (100100) jeżeli robisz asynchroniczny.

    Początkujący Elektronicy   25 Maj 2005 01:07 Odpowiedzi: 3    Wyświetleń: 2306
  • Liczniki modulo oraz dzielniki przez...

    Asynchroniczny modulo 4 to 2 JK, oba pracujące jako dwójki liczące tj J i K podać "1". Wyjście Q pierwszego przerzutnika należy podać na wejście zegarowe drugiego i już mamy modulo 4 a wejściem licznika (zliczającym) jest wejście zegarowe przerzutnika pierwszego. Oba przerzutniki muszą być wyzwalane zboczem !!!

    Początkujący Elektronicy   20 Maj 2008 10:07 Odpowiedzi: 4    Wyświetleń: 3509
  • dzielnik częstotliwosci oraz licznik modulo 10

    Witam, gotowe liczniki modulo 10 średniej skali integracji to: - UCY 74090 --> dekada asynchroniczna, - UCY 74190 --> dwukierunkowa dekada synchroniczna z wpisem równoległym i kasowaniem asynchronicznym, - UCY 74192 --> dwukierunkowa dekada synchroniczna z wpisem równoległym i kasowaniem synchronicznym. Na każdej tej dekadzie można zrealizować licznik...

    Początkujący Elektronicy   16 Cze 2006 17:12 Odpowiedzi: 9    Wyświetleń: 7084
  • Jak zbudować licznik modulo 7? Proszę o instrukcje.

    Witam... Tu masz licznik asynchroniczny modulo 7, wykorzystana bramka służy do skracania cyklu licznika żeby bylo modulo 7... Pozdrowienia

    Początkujący Elektronicy   16 Sie 2005 09:13 Odpowiedzi: 18    Wyświetleń: 8653
  • Dzielnik częstości modulo 3(synchroniczny)

    No własnie niestety nie. Myślałem że to to, ale okazuje sie że nie działa poprawnie, później dowiedziałem się że to jest asynchroniczny i dlatego nie działa tak jak chce. Synchroniczny jest ponoć bardziej skomplikowany. Wogule popatrz na te wykresy pod schematem. Synchroniczny i asynchroniczny niczym sie nie różnią?? To jest (niestety :D ) licznik...

    Nauka Elektroniki, Teoria i Laborki   12 Sty 2009 14:11 Odpowiedzi: 6    Wyświetleń: 4803
  • Licznik modulo 53 - układ scalony 7493

    Próbowałem resetowanie bez tego przerzutnika D, lecz wtedy zamiast resetować do 0, resetuje mi do 22, nie wiem czemu Dla mnie też jest to dziwne zwłaszcza że 22 ( 010110b ) sugeruje że nie wszystkie przerzutniki liczników zostały wyzerowane. Może problemy robi sam symulator. (at)2N3866 Jakieś sugestie? Nie mam lepszego pomysłu niż problem symulatora....

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4275
  • Modulo 2. Układ elektroniczny obrazujący działanie modulo 2.

    7490 to jest asynchroniczny licznik liczący do 10 lub mniej (jezeli damy sprzężenia). Bramki EXOR (dwuwejściowe) są w układzie 7486 (74LS86, 74HC86, 74HCT86) Wyprowadzenia układu: 1 WE1_1 2 WE1_2 3 WY1=WE1_1 EXOR WE1_2 4 WE2_1 5 WE2_2 6 WY2=WE2_1 EXOR WE2_2 7 MASA 8 WY3=WE3_1 EXOR WE3_2 9 WE3_1 10 WE3_2 11 WY4=WE4_1 EXOR WE4_2 12 WE4_1 13 WE4_2 14 +Vcc...

    Początkujący Elektronicy   07 Gru 2004 10:27 Odpowiedzi: 4    Wyświetleń: 3075
  • Asynchroniczny licznik mod 100

    Witam! Szukam wszystkich informacji na następujący temat: Asynchroniczny licznik cyfrowy modulo 100 zbudowany na układach scalonych UCY7490 z serii TTL . (Licznik ten jest zbudowany na dwóch dekadach połączonych łańcuchowo.) Informacje potrzebne mi są do pracy dyplomowej, tak więc będę bardzo wdzięczny za wszystkie. Pozdrawiam.

    Początkujący Elektronicy   20 Lis 2007 18:29 Odpowiedzi: 23    Wyświetleń: 10170
  • Modulo 10 od tyłu. Jak to można zrobić?

    Witam! Przepraszam, że tak późno - dopiero dziś kombinowałem i rysowałem. Tu masz asynchroniczny, jak chciałeś na JK i NAND-ach. "Dedykowany" pod 7473 itp. Wyjściami licznika są wyjścia proste: A, B, C, D. Pamiętaj, że 7473 (i inne JK_Master Slave) zatrzaskuje stan wejść przy dodatnim zboczu, a wyjścia zmienia przy ujemnym (musi wystąpić pełny impuls...

    Początkujący Serwisanci   05 Mar 2006 12:54 Odpowiedzi: 10    Wyświetleń: 3690
  • Sshemat Licznika MODULO 524

    Witam, Witam wszystkich Potrzebuje pomocy a mianowicie: Musze wykonać schemat w EWB licznika MODULO 524 - Czyli liczy od 0 do 523 Wykonuje go w taki sposób, że: - na samym początku bramka schmitta, - na każdą liczbę (czyli: jedności, dziesiatki, setki) przypadają 4 przerzutniki JK liczące do 16 - ale ograniczone aby liczyły do 9. - Jak jedności doliczą...

    Projektowanie Układów   13 Cze 2006 12:28 Odpowiedzi: 9    Wyświetleń: 2947
  • Licznik modulo 5. Przebiegi są dobre? Zastosowanie JK?

    O ile mi się wydaje to jest możliwość zbudowania licznika asynchronicznego liczącego w dół wystarczy tylko zanegować sygnały wyjściowe przerzutników. A licznik synchroniczny liczący w dół uzyskuje się poprzez wprowadzenie odpowiednich uzależnień stanu wejść (ale w przerzutniku J i K)

    Początkujący Elektronicy   12 Lut 2008 15:42 Odpowiedzi: 12    Wyświetleń: 13421
  • Licznik modulo N <=50000000

    Z podanych przez Ciebie typów chipów wynika że może być zarówno synchroniczny jak i asynchroniczny. Czy tak ? Dla asynchronicznego jest znacznie prościej to wykonać niż dla synchronicznego. Ma liczyć w przód czy w tył ? Witaj, Tak, może być synchroniczny albo asynchroniczny - większe pole wyboru dla pomagających. Co do liczenia to też bez znaczenia,...

    Warsztat elektronika   05 Kwi 2009 06:54 Odpowiedzi: 10    Wyświetleń: 2685
  • Licznik modulo 48 na układzie 74193 realizacja.

    Żeby po 48 się zerował (w asynchronicznych przez krótką chwilę jest jeszcze 48). cirrostrato: dwa liczniki - jeden liczy "normalnie", a drugi zerowania się pierwszego. Pierwszy obróci trzy razy i jest 48.

    Początkujący Elektronicy   18 Mar 2013 23:17 Odpowiedzi: 8    Wyświetleń: 2043
  • Licznik szeregowy modulo 13

    Cześć, próbuje zrobić licznik szeregowy modulo 13, liczący w górę z wykorzystaniem przerzutników D. Jednak po odpaleniu symulacji nie następuje nigdzie reset z 12 do 0 - po osiągnięciu 12 już nigdy nie zmienia się wartość. Tutaj są moje tablice. Kolumny są ponumerowane w ten sposób: DCBA, gdzie 7 = 0111 Mała poprawka, w kolumnie A jest: A'D' + A'C'D....

    Nauka Elektroniki, Teoria i Laborki   07 Gru 2016 22:11 Odpowiedzi: 0    Wyświetleń: 1800
  • Licznik modulo8 problem w OrCadzie

    /Pre i /Clr muszą być jednocześnie w stanie wysokim (Hi) -> nieaktywne ! One są aktywowane przez zero logiczne, więc w układzie jak na rysunku wymuszasz same jedynki (asynchronicznie do zegara) na wyjściach Q przerzutników ! Sprawdź czy w Orcadzie istnieje możliwośćwymuszenia stanu początkowego przerzutników.

    Początkujący Elektronicy   11 Sty 2006 01:49 Odpowiedzi: 4    Wyświetleń: 950
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    Dzieki czarutek za uznanie :-). Kolega chcial miec licznik synchroniczny na przerzutnikach D. Gdyby to mial byc licznik asynchroniczny, to jak piosalem w pierwszym poscie byloby to banalnie proste do napisania "z glowy". Stare to dzieje, gdy musialem to umiec "na zaliczenie". Rozpisuje sie tu czasami jako " tamam ", a nie tamtam z ktorym to instrumentem...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 12116
  • Jak wykonać reset synchroniczny licznika Mod 13?

    Witajcie. Stworzyłem licznik synchroniczny modulo 16 w oparciu o przerzutniki D. Teraz moje zadanie polega na przerobieniu go na licznik mod 13 za pomocą zerowania synchronicznego . Jak zrobić za pomocą zerowania asynchronicznego to wiem. Ale w jaki sposób mam to wykonać zerowaniem synchronicznym? Rozumiem, że mam wykryć stan N-1 ale nie wiem z czym...

    Nauka Elektroniki, Teoria i Laborki   11 Lut 2019 10:52 Odpowiedzi: 3    Wyświetleń: 780
  • Licznik modulo asynchroniczny 25

    witam Mam nie maly problem na piatek mam oddac zaprojektowany przezemnie licznik asynchroniczny modulo 25. Jezeli ktos moglby mi udzieliec jakis wskazowek jak go zbudowac tj. jak zbudowac go przerzutnikow JDK czy JK, ile ich ma byc? moze ktos ma juz zrobiona tabele stanow i moze mi przeslac (byloby super :) z gory dzieki za wszelka pomoc!! pozdrawiam...

    Początkujący Elektronicy   22 Sty 2004 01:17 Odpowiedzi: 1    Wyświetleń: 6047
  • asynchroniczny licznik modulo 5

    Wykorzystując dostępne przerzutniki i bramki logiczne zbudować asynchroniczny licznik modulo 5 zliczający w przód w kodzie 8421. Podać przebiegi czasowe układu. Czy ktoś wie jak to zrobić ??

    Początkujący Elektronicy   05 Sty 2005 01:00 Odpowiedzi: 2    Wyświetleń: 14980
  • Licznik modulo n asynchroniczny

    Ale liczenia modulo 7 na samym 7490 się nie zrobi - na to potrzebna by była jeszcze bramka AND. A na liczenie modulo 15 - 7493 i 2 bramki AND (albo 3-wejściowa).

    Początkujący Elektronicy   05 Cze 2018 10:16 Odpowiedzi: 8    Wyświetleń: 1299
  • Przykładowy przebieg czasowy asynchronicznego licznika modulo 3

    Licznik Modulo 3 dzieli częstotliwość sygnału wejściowego przez 3. Tutaj masz opisane liczniki i przedstawiony Modulo 3: [url=]Link

    Początkujący Elektronicy   26 Sty 2019 22:42 Odpowiedzi: 4    Wyświetleń: 1968
  • Schemat asynchronicznego licznika modulo 111 na przerzutnikach JK - pytanie o tabelę prawdy

    Cześć, mam problem z licznikiem asynchronicznym modulo 111 liczącym do przodu. Potrzebuję zbudować schemat takiego licznika. Czy trzeba zacząć od tabeli prawdy?

    Nauka Elektroniki, Teoria i Laborki   01 Gru 2022 20:54 Odpowiedzi: 1    Wyświetleń: 195
  • Emulator tagów RFID wyłącznie na układach serii 74xx

    Poniższy projekt jest kolejnym urządzeniem zgłoszonym do konkursu „7400 competition” w 2012 roku. Autor pragnął zaprezentować urządzenie, na którego widok pierwszą myślą jest „wow” albo „to jest obłędne!”. Tak też powstała idea stworzenia tagu RFID z wykorzystaniem jedynie układów logicznych z serii 74xx. Tag RFID...

    DIY Zagranica   07 Sie 2013 21:11 Odpowiedzi: 0    Wyświetleń: 7497
  • Co to jest za układ i jakie zastosowania spełnia?

    Według mnie tak jak to zostało wspomniane, jest to licznik binarny mod.5. Jeśli moje rozumowanie jest złe, proszę o korektę. Załączam obrazek który pokazuje jak według mnie idą pierwsze pięć sygnałów i jakie stany przyjmują na bramce AND i OR. Pierwsze pięć stanów na wyjściach układu 74393: W takim razie na bramce AND i dla jej wejść czyli C i A cały...

    Nauka Elektroniki, Teoria i Laborki   09 Lut 2018 17:54 Odpowiedzi: 9    Wyświetleń: 1362
  • Sprawozdanie. Może ktoś sprawdzić? Wnioski?

    W celu ćwiczenia powinno być brak kropki przed słowem "komputerowego" Spice a nie Spise Berkeley a nie Berkley Dobrze gdyby się udało przy liczniku synchronicznym i asynchronicznym pokazać różnicę w przebiegach o ile na to symulator pozwoli (opóźnienia, niejednoczesność zboczy) Licznik 7490 w części B jest mod 5 a nie modulo 4 Potem jest licznik modulo...

    Początkujący Elektronicy   25 Maj 2007 19:42 Odpowiedzi: 2    Wyświetleń: 1937
  • Przerzutnik JK - zasada działania

    PILNE!!!! Nie rozumiem zasady działania liczników asynchronicznych z przerzutnikami JK. Czy jest jakas zależność miedzy kolejnymi wyjściami w tabeli stanów? Potrzebuje schematów oraz tabeli stanów dla licznika modulo 21 (połączenie liczników scalonych 7492 i 7493) oraz licznika modulo 5. Potrzebuje rówznież dokładnego opisu syntezy układu licznika poprzez...

    Początkujący Elektronicy   11 Mar 2006 19:15 Odpowiedzi: 1    Wyświetleń: 2901
  • [sprawdzenie schematu] Dzielnik częstotliwości przez 100

    Witam, Kampania Wrześniowa się zbilża, TC do zaliczenia i mam z tym dużo problemów ponieważ ja bardzo chcę, choć elektronika to nie jest moja mocna strona. W sieci, a nawet w różnych publikacach jest sporo wzajemnie sprzecznych informacji co do tego przedmiotu. Np. w książce którą otrzymaliśmy od wykładowcy(!!!) jest przedstawiony w taki sposób dzielnik...

    Początkujący Elektronicy   12 Sie 2009 10:58 Odpowiedzi: 6    Wyświetleń: 5174
  • [at2313][Bascom] Programowe rozwiązanie PWM

    Przerwanie od timerów to zbiór instrukcji, które zostają wykonane w przypadku przepełnienia licznika, asynchronicznie, czyli niezależnie od wykonywanego w danym momencie kodu (chyba ze jest to inne przerwanie). Nie wiem jak wygląda obsługa przerwań w bascomie, ale mogę wytłumaczyć o co "biega". A więc 8-bitowy licznik ulega przepełnieniu co 256 cykli...

    Mikrokontrolery AVR   11 Mar 2010 20:51 Odpowiedzi: 16    Wyświetleń: 3736
  • Jak poprawić układ do zatrzaśnięcia wyniku?

    Mam taki układ. Na górze jest licznik BCD, który działa jak licznik modulo 10. Jest to licznik asynchroniczny i za zadanie mam pokazać jego asynchroniczność. Po przejściu z wartości 9 mam zatrzasnąć wartość niepożądaną. Rozumiem że powinna nią być wartość 8, bo wartości ustalają się po kolei od lewej do prawej. Dlatego zrobiłem układ zatrzaskujący wartość...

    Nauka Szkolnictwo   01 Cze 2016 09:32 Odpowiedzi: 0    Wyświetleń: 522
  • [sprzedam] "Sztuka elektroniki" tom 1 i 2

    Witam sprzedam książkę: "Sztuka elektroniki" tom 1 i 2. Książki używane, stan dobry. Cena: 70 zł za oba tomy. Spis treści: CZĘŚĆ I Przedmowa / 11 Przedmowa do pierwszego wydania / 13 ROZDZIAŁ 1 Podstawy / 15 Wstęp / 15 Napięcie, prąd i rezystancja / 16 1.01. Napięcie i prąd / 16 1.02. Zależność między napięciem i prądem: rezystory / 17 1.03. Dzielniki...

    Elementy elektroniczne Bazar   12 Lis 2009 15:24 Odpowiedzi: 1    Wyświetleń: 4298
  • [Sprzedam] Sztuka elektroniki tom 1 i 2 Paul Horowitz , Winfield Hill

    Sprzedam książkę: "Sztuka elektroniki" tom 1 i 2. Książki używane, stan bardzo dobry Cena: 80 zł za oba tomy. Spis treści: CZĘŚĆ I Przedmowa / 11 Przedmowa do pierwszego wydania / 13 ROZDZIAŁ 1 Podstawy / 15 Wstęp / 15 Napięcie, prąd i rezystancja / 16 1.01. Napięcie i prąd / 16 1.02. Zależność między napięciem i prądem: rezystory / 17 1.03. Dzielniki...

    Elementy elektroniczne Bazar   02 Sty 2012 14:06 Odpowiedzi: 2    Wyświetleń: 3579
  • szukam 7-mio segmentowy wyświetlacz LED

    Witam, Dekoder kodu BCD na kod wyświetlacza już masz. Moja uwaga: symbole bramek AND z zanegowanymi wejściami (bezpośrednio sterujących segmentami) należałoby zastąpić symbolami bramek NOR jako bardziej zrozumiałe i jednoznaczne (ale to kwestia gustu). Pozostaje jeszcze tylko zbudować z przerzutników JK-MS licznik modulo 9 (dekada asynchroniczna) na...

    Początkujący Elektronicy   15 Kwi 2005 00:54 Odpowiedzi: 2    Wyświetleń: 1727