REKLAMA

modulacja analogowa

Znaleziono około 595 wyników dla: modulacja analogowa
  • Opis pojęć: modulacja FM, AM i PCM, demodulacja, sygnał cyfrowy, przetworniki...

    Witam.Możecie mi pomóc z teorią?:) Mam pytania na które musze odpowiedzieć własnymi słowami a nie książką.Mógłby mi ktoś opisać takie pojęcia, najlepiej w formie ściągi:): Modulacja, Modulacja FM, AM, PCM. Demodulacja, Sygnał cyfrowy, analogowy, Architektura TMN , Proces kwantowania próbek sygnału,Przetwornik cyfrowo-analogowy ,Transformacja Fouriera...

    Początkujący Elektronicy   22 Lis 2006 20:25 Odpowiedzi: 7    Wyświetleń: 1758
  • Modulacja Analogowa

    modulacja analgowa - amplitudy AM jednowstegowej SSB poszukuje jakichkolwiek informacji na ten temat :-) Z góry przepraszam jeśli wpisałem sie nie na te podforum POZDRAWIAM

    Początkujący Elektronicy   07 Kwi 2004 17:10 Odpowiedzi: 2    Wyświetleń: 2307
  • siulink-modulacja chopper

    mam model w simulinku pokazujący zasade działanie modulacji chooper. Chce zasymulować modulacje sygnału analogowego i szumów białego i flicker noise(1/f). Generuje sinusa w trybie continous i potem go mnoże przez funkcje prostokątną którą stworzyłem za pomocą generacji ciągów impulsów przepuszczam przez blok transfer function który imituje wzmacniacz...

    Programowanie   08 Sty 2011 19:41 Odpowiedzi: 0    Wyświetleń: 699
  • REKLAMA
  • Modulacja analogowa lasera

    Witam Przeglądam ostatnio różne moduły laserowe do projektora RGB i zauważyłem duże różnice modulacji analogowej. Np. Jakiś tam zielony ma 10 kHz a niebieski 100 kHz. Czy robi to jakąś różnice w mieszaniu RGB ? Ludzkie oko coś "odczuje" ? Pozdrawiam

    Optoelektronika   10 Lis 2012 18:35 Odpowiedzi: 7    Wyświetleń: 1491
  • Modulacja... układ mnożacy - Problem...

    ad633 jest układem analogowym, zawiera 4 cwartkowy układ mnożący

    Początkujący Elektronicy   23 Lut 2007 11:10 Odpowiedzi: 8    Wyświetleń: 1587
  • REKLAMA
  • PWM, generacja w AVR? Metody generacji analogowej, cyfrowej?

    Proces modulacji PWM polega na porównaniu sygnału modulujacego (nosnego) Sn z sygnałem modulowanym Sm. Sa stosowane dwa rodzaje sygnałów nosnych, sygnał trójkatny i piłokształtny. Modulacje sygnałem piłokształtnym nazywamy jednostronna, poniewa jedno zbocze sygnału sterujacego ma zawsze ustalona pozycje wzgledem sygnału modulujacego, a drugie zbocze...

    Początkujący Elektronicy   20 Lut 2007 14:46 Odpowiedzi: 2    Wyświetleń: 1080
  • Układ modulacji delta CVSD-mam problem PILNE!!!

    Witam!!! Mam problem z układem do modulacji-demodulacji delta, konkretnie CVSD. Zastosowałam gotowe układy scalone- CMX639P firmy CML, które zarówno mogą pełnić rolę modulatora jak i demodulatora. Wszystkie elementy jak np. kondensatory wartościami dobrałam zgodnie z zaleceniami w datasheet CMX639P, parametry sygnału który poddaję procesowi modulacji...

    DSP i Transmisja   20 Paź 2008 10:15 Odpowiedzi: 0    Wyświetleń: 1301
  • AD9122 Podwójny, 16-bitowy konwerter cyfrowo-analogowy DAC

    Analog Devices wprowadził nowy moduł AD9122. Jest to podwójny, 16-bitowy, cyfrowo-analogowy konwerter DAC (digital-to-analog converter) dostarczający częstotliwość próbkowania rzędu 1200MSPS. Układ zapewnia optymalizację dla aplikacji bezpośredniej transmisji przetwarzania, zawierając kompleksową modulację cyfrową i wzmacniacz. Wyjścia cyfrowo-analogowego...

    Newsy   15 Paź 2009 13:30 Odpowiedzi: 0    Wyświetleń: 1041
  • Nadajnik, scalony transmiter analogowych słuchawek 433 / 830MHz schemat

    Witam mam pytanie do znawców tematu. Powiedzmy ze mam w posiadaniu analogowe bezprzewodowe słuchawki na 433MHZ oraz 863MHz (popularne thomsony itp). Szukam schematów analogowych nadajników do wykonania (nadajnika / transmitera sygnału audio) - modulacja FM Wiem że złożenie i zestrojenie takowego urządzenia nie należny do łatwych dlatego też ewentualnie...

    Radiotechnika Początkujący   27 Gru 2013 20:59 Odpowiedzi: 1    Wyświetleń: 2061
  • Jaki miernik do pomiaru sygnału analogowego lub cyfrowego telewizji naziemnej?

    Połączenie tych dwóch kabli beczką i problem znikł a pomiary Neonem w zasadzie się nie zmieniły. Posiadam sporo różnych mierników i testerów i przez lata nabrałem przekonania, że do końca im wierzyć nie można. Tzn. j ak pomiar wskazuje, ze jest źle to będzie to prawda. Natomiast jak wskazania są O.K. to niekoniecznie będzie to prawda. Pozdrawiam Nie...

    Instalacje antenowe archiwum   09 Mar 2014 12:07 Odpowiedzi: 16    Wyświetleń: 3915
  • - Modulacje i nietypowe anteny KF

    1. FM wąskopasmowa (szerokopasmowa zresztą też) wykorzystuje 100% mocy, natomiast jak to ujęcie w swojej głowie umieszczam wśród "szkolnych", tzn to się do pewnego stopnia wyjaśnia rzeczywistość, ale potem już nie, model zjawiska jest za ubogi. To się przekłada na użyteczność, tzn SSB jest skuteczniejsza realnej sytuacji z szumami niż AM. FM jest skuteczna...

    Radiotechnika Początkujący   25 Gru 2016 23:25 Odpowiedzi: 2    Wyświetleń: 1797
  • Czy jest jakieś racjonalne uzasadnienie dla posiadania oscyloskopu analogowego?

    Mam 3 oscyloskopy łącznie. Tektronix TBS1042- 2x40MHz cyfra Schlumberger 5228- 3x250MHz analog S1-107- 1x5MHz analog kompaktowy I tak powiem, do radiówki to wybieram Schlumbergera, wysokie pasmo, duży ekran i analog ładnie pokazuje modulację. Jak kwestie cyfrowe, fourier (tor p.cz lub głowice na niższe częstotliwości) czy single shot to idzie Tektronix....

    Projektowanie i Tworzenie Po godzinach   27 Wrz 2021 18:38 Odpowiedzi: 52    Wyświetleń: 3477
  • Szukam analogowego generatora tonu sinusoidalnego, alternatywa dla AD9833

    (at)jacekcz Zobacz może gdzieś dostępne są stareńkie układy Siemensa SAB0600 albo nowszy Infineon SAE800 - prywatnie jako inżynier mam kłopot ze zrozumieniem tego że rozważasz AD9833 ale nie chcesz jakiegoś prostego uC zwłaszcza że chodzi tu nie tylko o generacje tonu ale też jego uformowanie (czyli odpowiednia obwiednia). Btw jeśli rozumiem datasheet...

    Początkujący Elektronicy   08 Mar 2024 08:23 Odpowiedzi: 30    Wyświetleń: 615
  • REKLAMA
  • Jak sprawdzić w jakiej modulacji nadawane są sygnały?

    Witam. Jak zorientować się w jakiej modulacji są nadawane dane sygnały? Najłatwiej po prostu przełączając rodzaj modulacji i oceniając jakość odbioru. Czemu np. Air Band nadaje w AM -ie, a Policja w FM -ie? Na paśmie lotniczym nadaje się w AM ze względu na zjawisko Doppler'a, jest ono najmniej odczuwalne na modulacji AM. FM natomiast jest bardziej...

    Radiotechnika Początkujący   14 Wrz 2008 16:44 Odpowiedzi: 3    Wyświetleń: 2192
  • Wzmacniacze mocy a modulacja

    Systematyzując twój język i sposób myślenia, a także odwołując się do informacji już podanych przez kolegów krissa51 i RitteraX : - wzmacniacz służy do wzmacniania sygnałów (np. zmodulowanych amplitudowo, częstotliwościowo), a nie do "używania przy modulacji"; - natura sygnału AM i FM jest inna, jak również skutki wpływu jego zniekształceń na informację...

    Radiotechnika Początkujący   04 Lip 2016 11:57 Odpowiedzi: 5    Wyświetleń: 2424
  • Czy do regulacji PID musi się odbywać na wyjściach analogowych w PLC ?

    PWM nie jest rodzajem PID tylko odrębną regulacją ? To nie jest regulacja, tylko modulacja. Zapytałbym raczej po co sterować moc tej żarówki? Co to za żarówka? Ma ona być jakąś grzałką? Koniecznie trzeba to robić ze sprzężeniem zwrotnym? Pytam, bo może chodzi tylko o zmianę jasności. Wtedy regulator (np. PID) nie jest potrzebny.

    Automatyka Sterowanie PLC   16 Wrz 2021 19:12 Odpowiedzi: 28    Wyświetleń: 1071
  • Gitara - jak zbudować octaver analogowy?

    panowie dziwne rzeczy piszecie sygnał prostokątny lub pseudo sinus o dwa razy mniejszej częstotliwości owszem, ale co to za efekt dołożyć do sygnłu muzycznego jeden prostokąt? sygnały muzyczne to skomplikowane przebiegi nieustalone ten efekt na dwóch operacyjnych to ciężka ściema- jest to distortion, który dzięki zniekształceniom intermodulacyjnym może...

    Projektowanie Układów   11 Sie 2010 06:38 Odpowiedzi: 36    Wyświetleń: 11980
  • Dioda RGB modulacja PWM

    Witam. Czytam ciągle te posty o sterowaniu diodą RGB przez modulację PWM, lecz dalej nie wiem, jak sprzętowo ją zorganizować. Można to zrobić używająć AT89c2051? Wymaga dodatkowo jakiegoś przetwornika cyfrowo analogowego? jeśli jak to jakiego? Na jakiej zasadzie działa ta modulacja PWM? Co to takiego dokładnie? Zależy mi na płynnych przejściach między...

    Mikrokontrolery   27 Sie 2007 18:43 Odpowiedzi: 6    Wyświetleń: 3049
  • moduł laserowy i modulacja

    Może tak, a może nie, zależy co to za driver jest w środku. Jest też kwestia jaką modulację chcesz zastosować - analogową czy pwm? Jeśli twój moduł ma tylko wyprowadzenie do zasilania i chcesz modulować analogowo prąd diody to prawdopodobnie możesz po prostu podłączyć swój moduł do zewnętrznego drivera ze stabilizacją prądu tak jak byś podłączał samą...

    Optoelektronika   05 Wrz 2008 13:57 Odpowiedzi: 3    Wyświetleń: 1826
  • Czy to koniec elektroniki analogowej?

    To kwesto czasu jak w strukturach procesorów będą zabudowane półprzewodnikowe przekaźniki i triaki. I co to niby da? A standardowy port O/I z wyjściem typu Push–pull lub OC nie jest przypadkiem takim "przekaźnikiem"? Jest! Wartości prądów i napięć ograniczone, ale przecież to tylko wartości analogowe... Poza tym o czym Wy tu piszecie jasne jest,...

    Forum Budowlane   06 Mar 2012 22:49 Odpowiedzi: 32    Wyświetleń: 4848
  • Rodzaj modulacji mikrofonu estradowego

    Witam Czy spotkaliście się kiedyś z takim mikrofonem estradowym, który używałby modulacji FSK i wysyłał dźwięk cyfrowo, czy raczej większość mikrofonów robi to analogowo modulacją FM? Wiem, że na pewno znalazłby się taki, ale jakie jest prawdopodobieństwo, że średniej klasy np. szkolny sprzęt będzie tego używał? Ja się nie spotkałem, co cale nie oznacza,...

    Radiotechnika Początkujący   06 Lis 2013 08:02 Odpowiedzi: 2    Wyświetleń: 1188
  • Axoloti - syntezator cyfrowy, symulujący analogowy, modułowy układ

    Axoloti to system, pozwalający na cyfrową syntezę dźwięku. System składa się z urządzenia - Axoloti Core opartego o procoesor z rodziny STM32, realizującego cyfrowo całą syntezę oraz z oprogramowania - Axoloti Patcher. To co odróżnia Axoloti od innych syntezatorów dźwięku jest sposób jego kontroli i programowania. Dzięki unikalnemu podejściu i dedykowanemu...

    DIY Zagranica   03 Sty 2016 16:59 Odpowiedzi: 9    Wyświetleń: 6195
  • Radio analogowe FM i AM w przyszłości

    Wystarczy odstroić lekko radioodbiornik i modulacja FM może być demodulowana przez odbiornik AM. W ten sposób pracują choćby odbiorniki superreakcyjne. Zgadza się, w ten sposób nawet działały pierwsze polskie odbiorniki z zakresem UKF, np. Podhale. Jednak jakość odbioru FM dużo gorsza niż w przypadku standardowego demodulatora. Inna sprawa, że ostatnio...

    Tematy tygodnia   08 Mar 2024 04:12 Odpowiedzi: 130    Wyświetleń: 15867
  • Ujemna modulacja CB radio - odejmowanie modulacji od nośnej

    Witam. Postaram się odpowiedzieć na wszystkie pytania i uwagi. Schematu do radia nie posiadam. Miernik Miranda CBT100( przepraszam podałem złą nazwę CB100) to taki mały analogowy tester CB z pomiarem fali stojącej, SWR, mocy, generatorem w.cz., generatorem m.cz,testerem kwarców. Posiadam leciwy oscyloskop ST 315A i robioną sondę w.wcz.. Napięcie w.cz....

    CB Radio   17 Wrz 2021 13:28 Odpowiedzi: 49    Wyświetleń: 2526
  • Modulacja PAM

    NIe, PAM jest między AM a PCM tzn to jest PCM ale przed zakodowaniem, czyli jako próbki przed przetwornikiem A/C Klucz kluczuje sugnał który jest zapamiętywany na kondensatorze i wyjściu jest PAM (pulse AM) czyli sygnał z układu próbkujaco pamiętającego PAM jest modulacją impulsową , ale pomimo tego że jest skwantowana w czasie jest zaliczana do analogowych,...

    Początkujący Elektronicy   26 Wrz 2004 21:26 Odpowiedzi: 5    Wyświetleń: 4817
  • zmiana modulacji w czasie zeczywistym

    Sądzę że jednak można, przecież z wejścia analogowego sygnał "idzie" przez kartę dźwiękową i jeżeli tam ustawimy jego modulację powinien już zmienioną postać cyfrową posłać do SKYPE, a inny soft? Ten z podanych linków nic?

    Software serwis   19 Maj 2005 22:17 Odpowiedzi: 4    Wyświetleń: 759
  • Pare pyt odnośnie modulacji PAM, kodera i dekodera PCM

    Witam Mam 5 pytan do was i byłbym bardzo wdzieczny za pomoc. 1.Jaki jest cel zastosowania modulacji PAM w koderze PCM ? 2.Jak realizuje się czasowy podział kanałów TDM w systemie PCM? 3.Jakie jest znaczenie bitów słowa kodowego PCM? 4.Jaka jest charakterystyka kompandorowania według prawa A i jaki jest cel stosowania kompresji cyfrowej w telefonicznych...

    Początkujący Elektronicy   03 Gru 2006 10:54 Odpowiedzi: 0    Wyświetleń: 947
  • Tuner sat jako tuner tv analogowej naziemnej ?

    Witam! Głowica wielkiej częstotliwości w analogowym tunerze satelitarnym jest przystosowana do przyjęcia sygnału z konwertera w zakresie częstotliwości około 900 MHz do 2100MHz, czyli znacznie powyżej najwyższego kanału telewizji naziemnej. Dodatkowo sygnał satelitarny zmodulowany jest częstotliwościowo (FM) z dewiacją 16 lub 25 MHz, natomiast telewizja...

    SAT Serwis   02 Mar 2006 23:07 Odpowiedzi: 7    Wyświetleń: 11524
  • Analogowe sterowanie zasilaczem impulsowym

    Przedstawiony układ w zamyśle jest raczej rodzajem analogowej zabawki aniżeli poważnym projektem. Ma on pełnić funkcję analogowego sterownika klucza tranzystorowego działającego w chopperze, a zarazem stabilizatora wartości średniej napięcia wyjściowego. Układ dzieli się na dwa podstawowe podzespoły: generator fali prostokątnej z modulacją PWM oraz...

    Projektowanie Układów   25 Sty 2008 11:06 Odpowiedzi: 3    Wyświetleń: 1884
  • Analogowy oscyloskop jako monitor wyświetlający kompozytowy sygnał wideo

    Alan Wolke, inżynier aplikacji w Tektronixie i radioamator, zbudował układ wyświetlający kompozytowy sygnał wideo na ekranie zwykłego, analogowego oscyloskopu (w tym przypadku jest to Tektronix Tek485). Korzystając z tej techniki wyświetlił film prezentujący jego warsztat elektroniczny. Rzecz polega na wykorzystaniu, oprócz kanałów X i Y oscyloskopu...

    DIY Zagranica   02 Sie 2012 20:23 Odpowiedzi: 7    Wyświetleń: 16945
  • Czy cyfrowa końcówka mocy podejdzie pod analogowy mikser ?

    Czy bełkot? To jest końcówka pracująca w klasie D gdzie sygnał w zasadzie nigdzie we wzmacniaczu nie jest przetwarzany na analogowy tylko jest modulowany impulsowo, konkretnie jest to modulacja PWM. Oczywiście wzmacnia sygnał analogowy bo ma przetwornik ADC i ma też wejście cyfrowe. Przez tą jego "cyfrowość" czy bardziej "impulsowość" osiąga się bardzo...

    Estrada Co Kupić   01 Sty 2014 17:21 Odpowiedzi: 4    Wyświetleń: 1290
  • Oscyloskop analogowy DF4321 czy warto kupic ?

    DF4321 Oscyloskop analogowy "pasmo 20MHz, dwa kanały duża czułość odchylania pionowego 1mV/dz wyzwalanie sygnałem TV modulacja jasności plamki – oś Z wyzwalanie przemienne ALT i siekane CHOP korzystny stosunek ceny i parametrów eksploatacyjnych" czy to=prawda ? ? ? ps.intresują mnie opinie uzytkowników szczere aż do bólu :)

    Inne Co kupić?   02 Lis 2007 22:46 Odpowiedzi: 9    Wyświetleń: 4091
  • Własny nadajnik DVB-T. Układy służące do modulacji.

    a czym sie rozni DVB-S od DVB-T ? kurcze zbytnio nie siedze w tym ale z checia bym sie pobawil. moglbys mi jakos tak jak kawe na lawe wylozyc ? jezeli chodzi o zmontowanie jakiegos ukladu to niema problemu bawilem sie w telewizje analogowa nawet na 10 Ghz ale cyfrowka ......lipa jak to wszystko jest kodowane? moze jakies materialy .......linki ?

    DSP i Transmisja   24 Sty 2012 22:05 Odpowiedzi: 22    Wyświetleń: 17753
  • Regulacja prądu 0-4A z modulacją

    4A to dużo, powstają problemy z powodu nieprawidłowo poprowadzonych połączeń, jak i braku kondensatorów na zasilaniu w punktach przełączania dużych prądów. LM358 jest bardzo wolnym wzmacniaczem, jedynym wyjściem z sytuacji jest podawanie na jego wejście odpowiednio spowolnionego sygnału, nie może to być prostokąt tylko trapez. Przełączanie napięć zrób...

    Projektowanie Układów   30 Gru 2006 14:28 Odpowiedzi: 8    Wyświetleń: 2732
  • Filtr Gaussa i GMSK. Czy spotkał ktoś wersję analogową?

    Pisze celowo drugi post. Dlaczego w Simulinku z wyjścia modulatora GMSK wychodzą liczby zespolone a nie odpowiedni przebieg sygnału. Mozna tam wstawić konwerter wyciągający część rzeczywistą czy urojoną i plotując te częsci uzyskuje się dwa różne przebiegi. A chcę uzyskac ten jeden zmodulowany by potem zobaczyć jego widmo - jak to zrobić? Liczba zespolona...

    DSP i Transmisja   15 Lut 2008 22:18 Odpowiedzi: 6    Wyświetleń: 5342
  • Zastosowanie tunera analogowego

    Nie wiem czy zauważasz różnicę pomiędzy nadajnikiem a odbiornikiem? analogowy tuner satelitarny to ODBIORNIK i jak sama nazwa wskazuje służy do odbierania sygnałów radiowych a dokładniej telewizyjnych FM. Taki system modulacji występuje m/inn. w kamerkach bezprzewodowych i można go użyć do odbioru tego sygnału.

    SAT Serwis   01 Lut 2010 09:09 Odpowiedzi: 30    Wyświetleń: 13477
  • Konwersja sygnału z analogowego na cyfrowy

    Tu poczytać: Są pewne nieścisłości: Sama konwersja analogowo-cyfrowa w przetworniku składa się z trzech faz: próbkowanie -> kwantyzacja -> kodowanie ale cały proces przetwarzania ma jeszcze dwa ważne składniki: kondycjonowanie sygnału -> filtracja dolnoprzepustowa -> próbkowanie -> kwantyzacja -> kodowanie kondycjonowanie sygnału - dostosowanie, przez...

    Nauka Elektroniki, Teoria i Laborki   22 Sty 2010 22:31 Odpowiedzi: 10    Wyświetleń: 10346
  • Atmega8 DTMF łącze kłopot ze złą modulacją?

    inventco.eu : ale DTMF to sygnał analogowy, więc jak ATMega na wyjściu OC1A może go generować prawidłowo? Potrzebujesz co najmniej kilka bitów. Ze 4. I wtedy może coś z tego będzie. No chyba że PWMem to wygenerujesz. Ale wtedy potrzeba co najmniej filtr RC.

    Mikrokontrolery   02 Cze 2010 09:29 Odpowiedzi: 4    Wyświetleń: 1458
  • Przejście z sygnału analogowego na cyfrowy

    w widmie jednego kanału analogowego można przesłać od 4 (w MPEG2) do 8 (w MPEG4) strumieni sygnałów telewizyjnych w normalnej rozdzielczości. - dużo więcej :twisted: No i zależy to jeszcze od modulacji. Przy QAM'ie 256 kanał cyfrowy ma przepływność 50Mb/s. A przepływność jednego programu SD mieści się od 2,5 do 8Mb/s. Mam w najbardziej upakowanym QAM'ie...

    RTV Użytkowy   31 Sie 2010 10:50 Odpowiedzi: 11    Wyświetleń: 6936
  • Analogowa TVK przesył bezprzewodowy

    Witam Poszukuje sposobu aby bezprzewodowo przesłać sygnał telewizji kablowej z z Telewizora nr 1 (który jest normalnie podłączony do kablówki) do Telewizora nr 2 znalazłem urządzenie AV Sender dzięki któremu jak zapewnia mnie sprzedawca bez problemu przesle analogowy sygnał oto specyfikacja tego urządzenia - Łatwy do zainstalowania systemu bezprzewodowej...

    RTV Użytkowy   27 Sty 2011 07:57 Odpowiedzi: 2    Wyświetleń: 2426
  • Analogowy AZART i DVB-T w jednej instalacji.

    Odradzam stosowania starych wkładek przemiennikowych z UHF na VHF z dwóch powodów: - niezgodność rastra kanałowego dla DVB-T w pasmie VHF; w przypadku zastosowania kanałów 6-12 trzeba dokonać przekodowania sygnału z uwagi na odmienny szyk rastra multipleksu - inny układ podnosnych; - większość wkładek zawiera proste modulatory pracujące na zagięciach...

    Instalacje antenowe archiwum   03 Paź 2012 20:42 Odpowiedzi: 15    Wyświetleń: 6109
  • Czy zewnętrzny dekoder dvb-t obsługuje również kanały analogowe?

    Tunery DVB-T jak sama nazwa wskazuje, nie odbierają sygnału analogowego, jak i (a co również nazwa wskazuje) nie odbiorą sygnału cyfrowej TV kablowej - DVB-C. Cyfrowa TV kablowa stosuje inny rodzaj modulacji, niż cyfrowa TV naziemna i choć częstotliwości mogą być te same, to sygnały są niekompatybilne.

    Forum Budowlane   04 Sie 2012 16:42 Odpowiedzi: 11    Wyświetleń: 3132
  • Sterownik S7-200 - obejście modułu analogowego

    Z tego co widze, s7 200 (221, 222, 224, 226) maja wyjscia do 20KHz. 224XP do 100KHz. Mysle ze przy takiej modulacji, moglbys juz zobaczyc jak dioda przygasa przy zmniejszaniu czestotliwosci. Jak chcesz sterowac natezeniem swiatla? Przez wejscie analogowe czy przy pomocy wejsc cyfrowych podajac zaprogramowane wartosci? Mozna zaprogramowac jedno DI ktore...

    Automatyka Przemysłowa   12 Paź 2012 17:40 Odpowiedzi: 9    Wyświetleń: 1716
  • Sterowanie analogowe 0-10V nagrzewnica/wentylator

    Witam Czy można regulator VNT20 w zamieszczonym schemacie zastąpić innym rozwiązaniem - cel jest jeden, wentylator ma pracować z pełną mocą bez możliwości modulacji jego pracy. W opisie sterownika mam informację iż silnik sterowany jest sygnałem analogowym 0-10V. (nie wiem o jakim przebiegu) Napięcie 230V jest przyłączone na stałe do omawianego wentylatora,...

    Automatyka Przemysłowa   11 Sty 2013 20:25 Odpowiedzi: 6    Wyświetleń: 6084
  • Poszukuje - Urządzenie do modulacji głosu

    Poszukuje modulatora głosu w czasie rzeczywistym, coś co pozwoli modulować głos żeby np. męski brzmiał jak kobiecy i odwrotnie. Urządzenie analogowe nie program.

    Inne Co kupić?   26 Paź 2017 00:52 Odpowiedzi: 2    Wyświetleń: 930
  • Co to jest sygnał analogowy/cyfrowy w kontekście komórkowej transmisji danych

    Ten wstęp z Wikipedii o cyfrowych/analogowych sygnałach radiowych wprowadza w błąd. Ja bym zwrócił uwagę na cyfrowe przetwarzanie sygnału, bo dzięki kompresji mamy mniej danych do przesłania i możemy efektywniej wykorzystać dostępne pasmo. Sygnału prostokątnego nie zobaczysz przy antenie bo taki sygnał ma bardzo szerokie pasmo i marnował by cenne zasoby,...

    Początkujący Elektronicy   10 Paź 2020 13:46 Odpowiedzi: 7    Wyświetleń: 948
  • Termostat kapilarny do pompki co,z modulacją pracy rosnąco do temperatury?

    Witam wszystkich, prosta i trudna rzecz tym razem. Potrzebny analogowy termostat kapilarny (do pompki co kominka), coś jak przy bojlerach, ale co do 30 stopni załącza instalacje połączony z potencjometrem ustawionym na minimum (minimalne obroty pompki co) Następnie przy potem przy 40 stopniach na średni zakres potecjometra i obrotów pompki co A przy...

    Systemy Grzewcze Użytkowy   09 Gru 2020 09:55 Odpowiedzi: 3    Wyświetleń: 297
  • Generowanie sygnałów i modulacja dźwięków w przenośnym syntezatorze z wykorzystaniem Arduino

    Witam, twoja propozycja to koncepcja pierwszych syntezatorow analogowo/cyfrowych gdzie pojawia sie MIDI i PCM np. seria juno Rolanda: - za obsluge MIDI i generacje dzwieku na podstawie probek odpowiada DCO czyli cyfrowo sterowany generator (odpowiednik VCO czyli napieciowo sterowany generator w Analogach) - a cala dalsza obrobka nadal byla analogowa...

    Projektowanie Układów   06 Lut 2021 11:19 Odpowiedzi: 12    Wyświetleń: 435
  • Dekoder analogowy Hirschman, uszkodzona głowica

    Sposób działanie dekodera należałoby opisać w porządnej książce a nie w kilku postach. Tak w skrócie to działa na podobnych zasadsach jak telewizor lecz modulacja wizji jest FM a nie AM i zestaw satelitarny ma o jedną przemianę częstotliwośći więcej niż TV. Jest to największy skrót jaki udało mi się zrobić. A teraz jeśli podasz jakieś szczeguły działania...

    SAT Serwis   04 Kwi 2005 21:50 Odpowiedzi: 14    Wyświetleń: 2505
  • CYFROWY Ascom Eurit 133/pójdzie na analogowej?/

    Zawdzięczasz to standardowi DECT/GAP. DECT (Digital Enhanced Cordless Telecommunications) jest akronimem użytym dla cyfrowych telefonów bezprzewodowych. Uzywa pasma 1.8-1.9GHz (2.4GHz dla systemów ISM) i bazuje na modulacji TDMA (Time Division Multiple Access - takiej samej jak GSM). W stanardzie są zapisane informacje o gospodarce pasmem, ilości kanałów,...

    Telefony Stacjonarne Serwis   12 Lis 2005 17:02 Odpowiedzi: 14    Wyświetleń: 2814
  • Analogowe i cyfrowe nadawanie radiowe

    Hmmm... proponuję znaleźć dobrego elektronika, który za paczkę cyny zrobi wam tor radiowy 433 MHz (zasięg około 400 m), które ciągnie 20 Mbps !!! Sam taki mam... ale autor juz nie żuje :( Motory ostatnio budują dużo za szybkie... Rozebrałem kiedys to cudo by zrysować schemat ale nic z tego wszystko zalane epoksydem :( Ale to mozliwe !!! Tylko nie zawsze...

    Automatyka Przemysłowa   29 Sie 2005 21:50 Odpowiedzi: 20    Wyświetleń: 3841
  • Płynna regulacja 220V z wyjścia analogowego uP

    Nieznam się na uP, ale jeśli chcesz regulować płynnie 230V, to musisz zrobić to za pomocą modulacji szerokości (wypełnienia) impulsów (PWM) i zsynchronizować to wszystko z siecią 230V. Nie nożna regulować triaka/tyrystora napięciem stałym. Napięciem stałym, możesz tylko zrealizować funkcję włącz/wyłącz. Pozdrawiam Łukasz

    Projektowanie Układów   05 Gru 2005 22:05 Odpowiedzi: 10    Wyświetleń: 3308
  • Co można zrobić z analogowego odbiornika satelitarnego?

    Tak zupełnie bez przerabiania to chyba tylko do ustawiania anten satelitarnych (najlepiej się to robi na "analogu"). Jeśli nie masz zacięcia i wiedzy elektronicznej to niewiele zdziałasz. Amatorzy krótkofalowcy przerabiają je na obiorniki ATV (amatorskiej telewizji) i innych rodzajów emisji. Ich "wadą" (przy przróbce) jest bardzo szerokie pasmo (38...

    SAT Serwis   31 Gru 2006 09:24 Odpowiedzi: 10    Wyświetleń: 4295
  • Przestrojenie głowicy z 1.7 na 2.4GHz w tunerze analogowym

    Wprawdzie nie próbowałem ale - szczególnie w nowszych tunerach 0,95-2,05 GHz - powinno być to realne, przy odrobinie zdolności w mechanice precyzyjnej. Pytanie tylko - co z modulacją? W TVSAT była FM + clamping i określona szerokość pasma - a jak jest w "tanich linkach"? Nie jest to czasami jakaś cyfrówka? No i niezbyt duża czułość samej głowicy - przecież...

    SAT Serwis   01 Sty 2007 17:25 Odpowiedzi: 1    Wyświetleń: 957
  • Sygnalizacja na analogowej linii telefonicznej

    Witam Chciałbym zapytać o kształty, amplitudy, rodzaje modulacji, częstotliwości, ramki transmisyjne oraz do czego służą wszystkie sygnały na linii. Miedzy innymi sposoby przesyłania SMSów, impulsy dzwonienia, impulsy taryfikujące, prezentacja numeru, i ogólnie to wszystko co występuje na tej linii a czego nie wiem. Pewną wiedzę posiadam np że centrala...

    Telefony Stacjonarne Serwis   27 Lis 2007 07:34 Odpowiedzi: 17    Wyświetleń: 2591
  • Jak zamienić wartości analogowe na dyskretne w Cimplicity

    W helpie piszą o modulacji szerokości impulsu ale przy licznikach w wiekszości. %AQ References for Pulse Train The pulse frequency (15Hz to 5Khz) can be controlled from the application program by writing a value to the associated Frequency register, as shown below. The number of pulses to be output (0 through 65,535) is selected using the associated...

    Automatyka Przemysłowa   06 Cze 2008 19:04 Odpowiedzi: 5    Wyświetleń: 1386
  • Uszkodzony przetwornik cyfrowo-analogowy w wzmacniaczuPSX-D1

    Witam. Nic nie zostało z tych układów że nie można odczytać? Według mnie idziesz całkiem złą drogą.Ponieważ chyba wszystkie wzmacniacze w klasie D wykorzystują modulację szerokości impulsu(PWM) a ty po prostu kładziesz przetwornik A/D z wyjściem szeregowym. Poczytaj>> Ja bym stawiał że był tam komparator i generator trójkąta ale nie dam sobie za to...

    Audio Początkujący   29 Wrz 2011 21:27 Odpowiedzi: 23    Wyświetleń: 3152
  • Głowica odbiorcza z tunera analogowego

    Chciałbym się dowiedzieć jak korzystając z takiej głowicy odebrać sygnał video nadawany nadajnikiem na 1.2 GHz i odczytać siłę sygnału (RSSI). RSSI nie odczytasz bezpośrednio z głowicy. Robi się to na pośredniej, już po głowicy, przed wzmacniaczem-ogranicznikiem szerokopasmowej FM lub przed detektorem AM w przypadku standardowego przekazu. Aby prawidłowo...

    Radiotechnika Początkujący   15 Kwi 2010 21:20 Odpowiedzi: 7    Wyświetleń: 2612
  • Sygnał analogowy zamiast mikrofonu pojemnościowego z mikr.

    ...Jak bezpiecznie i przy zachowaniu akceptowalnej głębokości modulacji wprowadzić sygnał analogowy ze sprzętu audio do CB Midlanda który używa prostego mikrofonu pojemnościowego... Po co Ci taka kombinacja. Będziesz kolejnym DJ puszczającym muzykę na 19 kanale?

    CB Radio   24 Sie 2010 17:10 Odpowiedzi: 6    Wyświetleń: 2211
  • Analogowy miernik jittera

    Witam dziękuję za odpowiedź Układ ma zmierzyć amplitudę jittera w sygnale 2048kHz, jest to bardziej układ modelowy niż służący do jakiegoś konkretnego zastosowania, bo pracuje nad nim w ramach pracy dyplomowej. Ogólnie opieram się na książce "Pomiary i analizy jittera fazy zegarów w sieciach telekomunikacyjnych"- J. Borgosz. Autor właśnie opisuje żeby...

    DSP i Transmisja   03 Cze 2011 10:59 Odpowiedzi: 4    Wyświetleń: 1290
  • Jedna antena na analogową i cyfrową TV i cztery telewizory

    Jeżeli masz na MUX-ach siłę 100% a jakość 80% na Gołogórze i 45% na Sławoborzu to oznacza że wzmacniacz jest przesterowany lub ma zły odstęp intermodulacyjny. parametr 100% sygnału i 45 jakości to bardzo zły parametr, prawidłowo powinno być 45% sygnału i 100% jakości. Prawidłowe wskazania są takie że siła powinna być niższa od jakości. To charakterystyczne...

    Instalacje antenowe archiwum   24 Sie 2011 13:25 Odpowiedzi: 15    Wyświetleń: 6138
  • TV analogowa + DVB-T = jaka antena i jak podłaczyć?

    Ja tylko przestrzegam przed wzmacniaczami o wzmocnieniu powyżej 15 dB. W praktyce żaden nie nadaje się do użytku przy pracy z cyfrowymi modulacjami ortogonalnymi (a takie są stosowane do MPEG4 AVC/H264), z uwagi na fatalne parametry intermodulacyjne.

    RTV Użytkowy   26 Sie 2011 13:38 Odpowiedzi: 19    Wyświetleń: 12266
  • Analogowy driver diody laserowej

    Wiam. Do latach postanowiłem wrócić do tematów związanych z laser show i planuję zbudować projektor...tym razem nieco poważniejszą konstrukcję. W związku z tym chciałbym zrobić prosty i niezawodny driver diody laserowej. Znalazłem pewien schemat drivera bez modulacji ale widzę szansę na zrobienie modyfikacji, nie wiem tylko jak zrobić to najlepiej....

    Projektowanie Układów   03 Kwi 2012 17:04 Odpowiedzi: 0    Wyświetleń: 3006
  • Dlaczego analogowa TVP1 i TVP2 szumi przy bieli na obrazie ?

    To z kablem euro to całkiem inna sprawa (przydźwięk od wizji). Fonia DK jest odbierana różnicowo, potrzebne są dwie nośne - wizji i fonii, po zmieszaniu uzyskuje się f różnicową 6,5MHz - jeśli jest co mieszać... W analogu białe tło równa się niskiemu poziomowi (modulacja negatywowa) - więc i sygnał fonii słaby. Silny jest tylko w czasie impulsu powrotu...

    RTV Użytkowy   04 Kwi 2012 20:56 Odpowiedzi: 5    Wyświetleń: 2091
  • Front-end analogowy do komunikacji po sieci zasilania

    Nowy układ firmy Texas Instruments to tani, zintegrowany front-end przeznaczony do transmisji po liniach zasilania (PLC). Spełnia on normy EN50065 CENELEC do pracy w pasmach A, B, C oraz D. Układ ten podłącza się bezpośrednio do procesora DSP lub mikrokontrolera z pomocą czteroprzewodowego interfejsu szeregowego. Projektanci przewidzieli podłączenie...

    Newsy   05 Cze 2012 14:20 Odpowiedzi: 0    Wyświetleń: 1112
  • Analogowe sterowanie tyrystorem.

    Na wstępie dzięki za zainteresowanie :) kpodstawa w pełni się z Tobą zgadzam ale nie zamierzałem się aż tak zagłębiać w temat. Sposoby sterowania staram się pozostawić konstruktorą tych urządzeń :D na pewno znają się na tym lepiej ode mnie. W opisanym przeze mnie układzie nie muszę mieć idealnie liniowej charakterystyki sterowania, to tylko wyidealizowane...

    Automatyka Przemysłowa   04 Lis 2013 09:40 Odpowiedzi: 9    Wyświetleń: 4503
  • Monitoring analogowy na skrętce czy koncentryku ?

    Mam na myśli przesyłanie sygnału modulowanego, podobnie jak to kiedyś robiono w telewizji - albo i sygnału telewizji cyfrowej. Na pewno nie sam transformator, bo obcina niskie częstotliwości i to zniekształca obraz (tak, że widać tylko krawędzie i to bez poziomych) - jeśli już bez modulacji, to układ nadawczy/odbiorczy zamieniający sygnał na symetryczny...

    Początkujący Naprawy   16 Maj 2014 18:44 Odpowiedzi: 12    Wyświetleń: 5673
  • Moduł GSM jako modem analogowy

    Witam Czy ktoś z Państwa próbował kiedyś użyć modułu GSM jako modemu analogowego do przesyłania danych, korzystającego z kanału rozmównego? Zastanawiam czy dało by się coś takiego zrobić, powiedzmy sobie szczerze – jako urządzenie niezbyt wysokiej prędkości, np. z wykorzystaniem Modulacji QAM? Jaką ewentualną prędkość połączenia udałoby się uzyskać?...

    Początkujący Elektronicy   22 Paź 2014 23:41 Odpowiedzi: 2    Wyświetleń: 765
  • Oscyloskop analogowy dla początkującego

    No ale seria 2000 czy to Rigola czy Siglenta to już raczej poza zakresem cenowym początkującego amatora. Swoją drogą to chętnie pobawiłbym się kiedyś jakimś DPO/SPO, bo na taniej cyfrze szybkości odświeżania i modulacji intensywności bardzo brakuje.

    Warsztat co kupić?   26 Gru 2014 23:50 Odpowiedzi: 19    Wyświetleń: 2358
  • Anemometr ultradźwiękowy - pytanie o część analogową

    Próbowałem od 10k w dół. Największe tłumienie oczywiście było dla najmnijszej wartości. Może Ci chodzi o pasmo? (że nie będzie najszersze dla najmniejszej wartości rezystora) U mnie żadne metody z modulacjami nie działają bo transducery mają za wąskie pasmo aby przenieść modulacje (w wystarczająco krótkim czasie, tzn. krótszym niż czas powrotu echa...

    Projektowanie Układów   04 Maj 2015 17:09 Odpowiedzi: 15    Wyświetleń: 1839
  • Telefony analogowe prezentacja do szkoły

    Modulacja amplitudowa prądu w obwodzie rozmówczym. Wybieranie impulsowe ( ilosc impulsow generowanych przez tarcze tel. odpowiada numerowi. Wybieranie tonowe (każda cyfra z klawiatury ma swój odpowiednik częstotliwościowy) Sygnal dzwonienia prądem przemiennym o częstotliwości 25Hz i napięciu 70V. Napięcie linii albo 24V albo 50 -60VDC. w stanie rozwartym,...

    Nauka Szkolnictwo   28 Maj 2016 10:39 Odpowiedzi: 6    Wyświetleń: 672
  • Samsung LE37C550J1WXXH - nie wyszukuje kanałów analogowych

    może ustawić na sztywno jakieś wartości w - Częstotliwość środkowa - Przepływność - Modulacja ?? W przekazie analogowym? - wpisujesz częstotliwość w MHz i to wszystko. Ewentualnie strojenie automatyczne. Oczywiście, instrukcję obsługi tego tv przeczytałeś w akapicie Ciebie dotyczącym?

    RTV Użytkowy   08 Sty 2017 21:49 Odpowiedzi: 20    Wyświetleń: 1758
  • Telegazeta - cyfrowa transmisja w analogowym sygnale

    Pamiętasz może jak wygląda transmisja? na jakiej podnośnej była modulacja bitów i jaka ona była? bo może na procku da się ten inserter zrobić.

    Tematy tygodnia   02 Kwi 2019 21:00 Odpowiedzi: 45    Wyświetleń: 7293
  • Jak zrobić analogowy układ sekwencyjnego załączania kanałów z regulacją szybkości?

    Już problem rozwiązałem 2 generatory fal na ne555 Jeden odpowiada za modulacje częstotliwości (piłozębny) Drugi za załączanie przekaźników (może być prostokątny) Generator nr.1 reguluje częstotliwość gen. Nr. 2 która wzrasta. Częstotliwość leci na każdy kanał na którym są odpowiednie filtry górno i dolno przepustowe, na każdym kanale inny zakres. Po...

    Początkujący Elektronicy   13 Maj 2019 17:25 Odpowiedzi: 5    Wyświetleń: 216
  • Czy używanie pinów analogowych Atmegi podczas programowania zaburzy sygnał?

    Oj przepraszam, pomyliłem piny bo spojrzałem na Attiny :oops: , oczywiście chodzi o MISO, MOSI itp. Programator USBasp, ale pytanie bez względu na to o jakie piny chodzi w zasadzie aktualne (Press w sumie na nie odpowiedział), załóżmy że chcę sobie zrobić modulację PWM na pinie MOSI.

    Mikrokontrolery Początkujący   24 Paź 2019 19:19 Odpowiedzi: 18    Wyświetleń: 1080
  • Sterowanie mocą grzałki 230V AC za pomocą wyjść analogowych w PLC

    (at)Radzio M. I za pomocą zaimpletowanego regulatora PID można będzie zrobić modulację PWM ? Sorry jeśli coś kręcę ale jestem jeszcze na początkowym etapie tego

    Automatyka Sterowanie PLC   31 Paź 2020 22:20 Odpowiedzi: 30    Wyświetleń: 2895
  • Wnętrze starego telefonu analogowego Slican ATS-20

    No wiesz np. w ISDN masz logicznie osobno sygnalizację, podobnie jak np. w VoIP a w analogu po tej samej fizycznej i logicznej linii oraz "modulacji" przesyłasz zarówno wybieranie "sygnalizację" jak i mowę.

    Wnętrza urządzeń   15 Lis 2021 10:12 Odpowiedzi: 15    Wyświetleń: 3879
  • Jaki używany oscyloskop analogowy do 500 zł dla początkującego?

    Lepiej poczekać aż technologia się rozwinie a ceny pójdą w dół. A do tego czasu poużywać analogowego. Ceny już nie pójdą w dół, a przynajmniej nie w PLN, nie ma na co czekać. Technologia się już rozwinęła, miała na to przynajmniej ćwierć wieku. Pierwsze sensowne DSO pojawiły się już w drugiej połowie lat '90, jak np. TDS-210. Jeśli nie zajmujesz się...

    Warsztat co kupić?   31 Maj 2022 17:42 Odpowiedzi: 40    Wyświetleń: 1650
  • Generator arbitralny ATXMega z regulacją wyjścia analogowego -10V do +10V, 8MHz, 2R2

    W ten sposób osiągnięto maksymalną prędkość 8MS. Daje to realny przebieg o częstotliwości 22KHz z bardzo ładnym sinusem. Wybierając z bufora co n-tą próbkę, można sztucznie zwiększać realną częstotliwość. Przy 16 próbkach wynik jeszcze przypomina sinus a częstotliwość wzrasta do 500KHz. Wiesz jak działają DDSy? akumulator fazy? kolejne próbki SINUSA...

    Początkujący Elektronicy   18 Sty 2023 01:17 Odpowiedzi: 14    Wyświetleń: 321
  • Czy magnetofony kasetowe dają analogowe brzmienie z cyfrowych nagrań?

    Mp3 =Mp4 dla telewizorni CD ma pasmo 20 Hz-4,5Hz reszta to oszustwo PHILIPSa modulacja szumowa mózg łatwo oszukać. Na CD czy grają skrzypce czy wirtuoz na Stradivariusie nie do odróżnienia.

    RTV Serwisant Po godzinach   03 Lut 2023 11:15 Odpowiedzi: 31    Wyświetleń: 3012
  • Brum fonii w telewizorach analogowych: przyczyny i różnice między polskimi a radzieckimi modelami

    Analogowy system modulacji wizji polegał na tym, że w Polsce obowiązywała modulacja negatywowa. Oznaczało to, że największa wartość sygnału odpowiadała czerni a najniższa bieli. Jeśli sygnał był bardzo biały to oznaczało jego spadek do wartości bliskiej zeru lub nawet osiągającej zero. W tych momentach zanikała nośna a więc nie było także nośnej sygnału...

    RTV Użytkowy   20 Mar 2023 07:30 Odpowiedzi: 10    Wyświetleń: 396
  • Analogowe systemy radiofoniczne (modulacje i struktura sy..)

    Potrzebuje aktualnie informacji na temat analogowych systemow radiofonicznych (modulacji i struktury sygnalów)! Ze względu na to, że na forum nie znalazłem żadnych postów na ten temat, założylem ten wątek! W Internecie jest cała masa wiadomości na ten temat, wystarczy poszukać. Pierwsze co znalałem po wpisaniu Z pewnością przyda sie nam wszystkim ;)...

    Radiotechnika Serwis   18 Lis 2006 18:51 Odpowiedzi: 3    Wyświetleń: 1289
  • Modulacja obrazu telewizji analogowej PAL w POLSCE.

    Ja proponuje modulacje w PA tak jak jest w CB. Robisz nadajnik FM którym nadajesz fonie a w stopniu końcowym modulatorem szeregowym (zmieniając napięcie zasilania PA) nakładasz sygnał wizji

    Radiotechnika Początkujący   26 Mar 2010 21:42 Odpowiedzi: 23    Wyświetleń: 2422
  • Moduł analogowej modulacji TV audio i video SAMSUNG RMUP23655. Co można

    Witam. Mam moduł samsunga RMUP23655, który był w dekoderze. Służył jako modulator sygnałów audio i video, aby można było dekoder podłączyć do telewizorów starego typu przez gniazdo antenowe. Z tego co wiem, wchodzą tam sygnały composit video i analogowe audio. Czy mógłbym z niego zrobić nadajnik TV do np. dvd lub kamery monitoringowej? Jeśli nie, to...

    Początkujący Elektronicy   22 Lut 2013 10:43 Odpowiedzi: 1    Wyświetleń: 2586
  • Praca zaliczeniowa - Przetwornik cyfrowo-analogowy z kombinowaną modulacją ampli

    Proszę o pomoc w wykonaniu projektu : Temat pracy brzmi następująco: " Przetwornik cyfrowo-analogowy z kombinowaną modulacją amplitudy i szerokości impulsów". W załączniku znajduje się schemat przetwornika 12 bitowego w którym 6 bitów jest odpowiedzialne za amplitudę a pozostałe 6 za szerokość impulsu. Brakuje modulatora szerokości impulsu który będzie...

    Projektowanie Układów   18 Paź 2013 07:32 Odpowiedzi: 2    Wyświetleń: 1263
  • [Sprzedam] SMIQ03B Generator sygnałowy 300 kHz do 3.3 GHz

    1203489 SMIQ03B Generator sygnałowy 300 kHz do 3.3 GHz Seria B generatorów sygnału z rodziny R&S SMIQ do modulacji analogowej i cyfrowej firmy Rohde & Schwarz oferuje rozwiązania na dziś i jutro. W szczególności seria ta uwzględnia przyszły rozwój w dziedzinie cyfrowego radia mobilnego trzeciej generacji. Rodzina R&S SMIQ składa się z czterech modeli...

    Ogłoszenia Elektronika   18 Gru 2023 03:32 Odpowiedzi: 0    Wyświetleń: 147
  • Projektor laserowy RGB o mocy pół wata

    Z analogową modulacją to logiczne, bo inaczej występował by offset dokładnie taki sam jak widać na charakterystyce P(I) diody :) Przy okazji pytanie, z tego co piszesz występują DPSS-y z modulacją analogową bez sprzężenia optycznego (pomiaru mocy wyjściowej)? Myślałem, że tego typu lasery są tak nieliniowe, że modulacja analogowa bez sprzężenia optycznego...

    DIY Konstrukcje   23 Maj 2019 00:01 Odpowiedzi: 109    Wyświetleń: 113687
  • modul 433 MHz jako nadajnik audio

    Mam pytanie czy da rade moduł 433 M H z (minimalna moc 15mW zastosowanie sterowanie barmami garażowymi) zastosować jako mini nadajnik audio z mod. w wąskim FM ie ... Radek odpowiedź brzmi " NIE " da się. Pamiętaj , że moduły (o których mowa) Powoli elektroda zamienia sie chyba w jakies forum prawnicze Jesteś NIE sprawiedliwy. Jeżeli ktoś naprowadza...

    Radiotechnika Początkujący   04 Maj 2011 13:28 Odpowiedzi: 11    Wyświetleń: 5182
  • Wymiana toru RS485 na radio. - UART <-> radio <-> UART (4800, 8N1).

    ASK to modulacja pochodnej analogowej modulacji AM. W modulacji AM fala nośna występuje zawsze kiedy nadajemy. Otym możesz poczytać w innych wątkach, choć to są informacje mniej istotne w ogólnym rozumieniu całości projektu jaki chcesz zrealizować. Istotną wręcz kluczową informacją dla poprawności zrozumienia działania modułów ASK jest właśnie nośna...

    Mikrokontrolery AVR   26 Lut 2015 11:07 Odpowiedzi: 31    Wyświetleń: 4605
  • techniki modulacyjne - symulacje

    Witam Czy jest ktoś w stanie polecić oprogramowanie do symulacji różnego typu modulacji? Dotychczas korzystałem ze SPice'a (głównie do modulacji analogowych). Teraz zajmuję się modulacjami impulsowymi (obecnie te podstawowe PAM, PPM, PDM, następnie bardziej złożone) i założyłem, że wykorzystam do tego Matlaba. Mam tu na myśli takie typowo ćwiczeniowe...

    DSP i Transmisja   06 Sty 2014 20:37 Odpowiedzi: 0    Wyświetleń: 1824
  • Strojenie anten nadawczo-odbiorczych

    Prawda jest jak zwykle pośrodku. Pomiar SWR jest pomiarem stosunkowo najprostszym i do wykonania w miarę prostymi środkami, poza tym jest mozliwe kontrolowanie go w sposób ciagły. Zależy nam nie tylko na sprawności samej anteny co na sprawności samego nadajnika, bo niedopasowanie stopnia mocy nie jest dla niego właściwym stanem. W pewnych rodzajach...

    Radiotechnika Początkujący   08 Lis 2008 18:04 Odpowiedzi: 82    Wyświetleń: 158103
  • Telekomunikacja problem z zadaniami

    Witam wszystkich, zakładam ten temat już 3 raz :cry: i nie wiem czemu ciągle jest usuwany. Ale do rzeczy. Mam do zrobienia parę zadań z Telekomunikacji, ale niestety nie jestem dobry w tej dziedzinie dlatego zdecydowałem się napisać na forum. Proszę o jakieś wzory i może mały opis skąd co się wzięło bo naprawdę sam nie mam pomysłów jak to rozwiązać....

    Początkujący Elektronicy   08 Lut 2009 15:51 Odpowiedzi: 0    Wyświetleń: 804
  • Projektor laserowy RGB 1,5W

    Witam wszystkich, drodzy elektrodowicze W końcu zebrałem się, żeby przedstawić tutaj największy z moich projektów, czyli Projektor Laserowy RGB 1,5W+. Projektor miał bardzo wiele wersji, jednak prezentuje tylko tę „edycję”. Ogólne założenie: miałem kilkanaście godzin na zbudowanie projektora który następnego dnia miał wyświetlać show na...

    DIY Konstrukcje   22 Sty 2012 22:01 Odpowiedzi: 42    Wyświetleń: 25925
  • Modulator i demodulator AM: DSB, SSB; FM; PM; PWM; PSK; FSK

    Ok:) zrobiłem modulację analogową DSB, SSB oraz FM. na częstotliwościach do 200kHz, ale tak naprawdę używam do 100kHz - nawet mniej. Nie widzę problemu w zrobieniu modulacji niskich częstotliwości, oczywiście w zależności od sygnału modulującego. Temat uważam za zamknięty, dziękuję za pomoc:)

    Radiotechnika Co kupić?   17 Lut 2011 20:47 Odpowiedzi: 3    Wyświetleń: 4077
  • Odbiór sygnału z satelit amatorskich.

    O właśnie o taka poradę mi chodziło. Uprawnień nie mam, ale wiem że nadawanie bez uprawnień jest zabronione i karalne, wiec na razie chciałem tylko słuchać. Co do klubu, mieszkam blisko Wielunia (miasteczko w woj. Łódzkim), znalazłem klub, pójdę na spotkanie (pierwszy poniedziałek każdego miesiąca) i popytam. Tylko nie wiem czy tam będzie jakoś dużo...

    Radiotechnika Początkujący   15 Maj 2013 13:17 Odpowiedzi: 8    Wyświetleń: 7176
  • Przenośny wzmacniacz audio o mocy 200 W

    TDA7498 nie jest układem działającym w technice cyfrowej. Wzmacniacza cyfrowego nie testowałem nigdy ani jednego, wydaje się to być z resztą dość rzadkie rozwiązanie, niespecjalnie praktykowane poza wspomnianym wcześniej wzmacniaczem Tact Millenium... Częściowo pod technikę cyfrową można by podciągnąć układy firmy Tripath, gdzie modulacją analogowego...

    DIY Zagranica   22 Cze 2023 13:33 Odpowiedzi: 42    Wyświetleń: 7407
  • Schemat modulatora i demodulatora PM - poszukiwany

    Szukam schematu modulatora i demodulatora PM (nie mylić z FM). Wszędzie znajduje tylko wykresy oscyloskopowe tej modulacji i opisy jak to działa, ale nigdzie nie mogę znaleźć konkretnego schematu. Jeśli chcesz mieć konkretne rozwiązania, to musisz podać więcej danych dotyczących sygnałów. Jako demodulatora fazy możesz użyć jakiegoś detektora fazy z...

    Początkujący Elektronicy   22 Sty 2024 09:30 Odpowiedzi: 5    Wyświetleń: 126
  • Projektor laserowy RGB 5W

    Witam wszystkich, drodzy elektrodowicze W końcu zebrałem się, żeby przedstawić tutaj największy z moich projektów, czyli Projektor Laserowy RGB 5W (w końcowej wersji będzie miał ponad 6W). Projektor miał bardzo wiele wersji, jednak prezentuje tylko tę „edycję”. Ogólne założenie: miałem 3-4dni na zbudowanie projektora który następnego dnia...

    DIY Konstrukcje   16 Gru 2011 12:20 Odpowiedzi: 31    Wyświetleń: 22215
  • Norwegia zakończy nadawanie radiofonii FM w 2017 roku

    Do końca 2017 wszystkie ogólnokrajowe rozgłośnie radiofonii FM w Norwegii przejdą na transmisję cyfrową DAB (Digital Audio Broadcasting) – zapowiedziało w czwartek norweskie Ministerstwo Kultury. Norwegia stała się tym samym pierwszym na świecie krajem mającym skonkretyzowane plany wyłączenia nadajników FM krajowych stacji radiofonicznych. Już...

    Newsy   22 Gru 2017 06:33 Odpowiedzi: 31    Wyświetleń: 6369
  • Transmisja Radiowa 80cx051 BASCOM

    Jaka moc nadajnika? Jaka odległośc? Ścieżka bezpośrednia? Czułość odbiornika? Czym zasilasz nadajnik i odbiornik? Skoro wysyłasz dane cyfrowe używając modulacji analogowej to jaki rodzaj odbiornika i demodulatora zastosowałeś? Czy funkcja "print" w Waszym faworytnym basicu czeka aż nadajnik wszystko nada, czy zwraca sterowanie po wpisaniu danej do rejestru?...

    Mikrokontrolery   05 Cze 2005 12:57 Odpowiedzi: 9    Wyświetleń: 3642