narzędzie xilinx

Znaleziono około 87 wyników dla: narzędzie xilinx
  • Jak zaimplementować projekt VHDL 6.2 w układzie GAL?

    Na pewno na stronie Xilinx jest darmowe narzędzie do syntezy i implementacji układów - Xilinx ISE Web Pack, ale nie wiem czy zadziała z Twoim GAL-em.

    Programowalne układy logiczne   20 Kwi 2006 14:26 Odpowiedzi: 8    Wyświetleń: 2739
  • Wybór prostego układu programowalnego do obsługi wyświetlacza 7-segmentowego

    1. Układ 7447 zamienia kod BCD, na strawny dla oka 7-segmentowy wyświetlacz. 2. Nie sprecyzowałeś czy wejście danych ma być podawane szeregowo czy równoległe i jaką ma mieć długość. Sądząc po wypowiedzi chodzi o szeregowe wejścia? 3. Język HDL czy schematic? To więc tak: 1: 4 wejścia szeregowe, multiplekser 4:1 (4 wejścia, 2 wejścia adresowe, 1 wyjście)....

    Programowalne układy logiczne   24 Gru 2010 06:27 Odpowiedzi: 8    Wyświetleń: 4718
  • REKLAMA
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Czy XUP USB-JTAG Progr. Cable jest potrzebny do FPGA Spartan 3?

    Teraz o Altium Designer, jest płatny, w dodatku TO jest TYLKO INNA nakładka graficzna do narzędzi Xilinx, Altera czy Lattice. Przecież korzystając z ISE czy Quartusa mój projekt na FPGA jest zwykłym scalakiem z niczym nie związanym. To (bez obrazy) dobre dla wytwórców scalaków. Dla kompleksowego projektu lepiej - jak mamy jasno połączone schemat funkcjonalny...

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9675
  • REKLAMA
  • Eagle - jak zmienić numerację padów podczas projektowania PCB?

    Przyzwyczajenie z Altium - tam zawsze można nadać nr kładzionemu elementowi, nawet jak kładę net, to jak jego nazwę zanumeruję od abc3 to kolejne nety będzie inkrementował od abc3, potem abc4, abc5...itd. Nawet narzędzie Webpack Xilinx tak działa. A w Eagle to jakby zapomniano o prostych ale będących standardem rozwiązaniach. Ten sam problem mam z netami...

    Programy EDA   01 Maj 2010 18:25 Odpowiedzi: 2    Wyświetleń: 7512
  • Jak zaprogramować układ CPLD Kamami przez USB na Windows 7 64-bit?

    Poczytaj o plikach "constraints". Jest jeszcze specjalne narzędzie do tego celu - PlanAhead. Jeśli korzystasz z Altium Designer + Xilinx - możesz to zrobić nawet z poziomu PCB :) (tak dla jasności). Zaraz odezwą się forumowicze - i skrytykują mnie za korzystanie z Xilinx ISE w ten sposób, ale co tam. Z tego co wiem Mentor też ma jakieś narzędzie do...

    Programowalne układy logiczne   04 Cze 2012 17:11 Odpowiedzi: 11    Wyświetleń: 4789
  • Algorytm kompresji dla wsadu FPGA w ATmega 128/2561 - sugestie?

    Proponuję porzucić szukanie gotowych bibliotek do Huffmana bo z reguły ich implementacja nie jest dostosowana do wymagań typu embeded. Lepiej dorwać opis metody i zrozumieć ja to działa. Jak się troche posiedzi i pokombnuje to jednak RAMu nie potrzeba tak dużo. W kodowaniu Hufmana kody od 0-255 zamieniam na różnej długości łańcuchy bitów. Tablica dla...

    Mikrokontrolery   25 Lis 2006 14:51 Odpowiedzi: 17    Wyświetleń: 4010
  • Wybór wyprowadzeń układu XC9536XL w Xilinx ISE Design Suite 13.4

    Narzędzie to PlanAhead (w ISE na belce -> Tools), plik który łączy component z pinami to *.ucf. Ma też dużo innych pożytecznych funkcji. [url=http://www.elektroda.pl/rtvforum/to... wiedzy na temat CPLD/FPGA Powrzucaj to co Cię martwi, to sprawdzę w wolnej chwili o co biega. Dziś jest późno, jutro coś może konkretniejszego do posta...

    Programowalne układy logiczne   07 Kwi 2012 10:56 Odpowiedzi: 5    Wyświetleń: 2485
  • Jak stworzyć kartę PCI do sterowania urządzeniem zewnętrznym?

    W internecie jest tego mnóstwo - resztę znajdziesz na Elektrodzie ! I tak: 1. Opis magistrali PCI wielokrotnie przewijał się w załącznikach na Elektrodzie 2. www.opencores.org - kod do syntezy interfejsu PCI (można również znaleźć wersję komercyjną) 3. Max II Plus lub Xilinx ISE - narzędzie do syntezy układu dekodującego sygnały magistrali PCI (układ...

    Komputery Hardware   05 Sie 2004 21:00 Odpowiedzi: 14    Wyświetleń: 3215
  • REKLAMA
  • Vivado - xilinx - Pamięć RAM przy pomocy "Memory Block Generator"

    w tym konkretnym przypadku reset jest raczej wymogiem formalnym, by kod był napisany 'po bożemu', niż koniecznością; Nie znam dokładnie narzędzi Xilinx ale spotkałem się z przypadkiem gdzie deklaracja typu signal indeks : integer := 0; spowoduje ostrzeżenie narzędzia do syntezy gdyż technologia nie umożliwia nadania sygnałom wartości początkowej (np....

    Programowalne układy logiczne   20 Gru 2018 12:39 Odpowiedzi: 4    Wyświetleń: 609
  • Jak odczytać i skopiować wsad z układu PLD Xilinx XC95108?

    Jest też opcja z FTDI, jeden z JTAGów Digilenta bazuje tylko na FT2232H. A sterowniki ładnie się integrują z narzędziami Xilinx'a. [url=http://www.digilentinc.com/Products... SMT1 Surface-Mount Programming Module

    Programowalne układy logiczne   23 Sty 2012 22:37 Odpowiedzi: 4    Wyświetleń: 1896
  • Xilinx wypuszcza Vitis HLS jako open source

    https://obrazki.elektroda.pl/9057253000_... Programowanie procesora to dobrze znany proces. Nawet programowanie procesorów graficznych stało się łatwiejsze dzięki frameworkom, takim jak CUDA czy OpenCL firmy Nvidia. Jednak programowanie macierzy bramek programowalnych - układów FPGA - zawsze było uważane za zadanie dla projektantów...

    Newsy   31 Mar 2021 23:20 Odpowiedzi: 2    Wyświetleń: 1026
  • REKLAMA
  • Darmowe narzędzie do wyszukiwania identyfikatorów w złożonych programach?

    Dlaczego firmy co do ogromnego produktu dla specjalistów - i niszowej funkcjonalności, bo kolejny wątek bardzo niszowy - mieli by kupować czas reklamowy w telewizjach Nie chodzi mi o reklamę, tylko o przyzwoity opis (Kolega zna różnicę?). Szukałem takiego, nie znalazłem. Rozumiem, że do zrobienia opisu potrzeba kogoś, kto rozumie to, co ma być opisane...

    Programowanie   26 Sie 2024 14:32 Odpowiedzi: 21    Wyświetleń: 387
  • Programowanie Xilinx na karcie PCI - VHDL do sterowania diodami

    Nie jestem specem od VHDL ale udało mi się napisać kilka działających projektów. Nie miałem w domu FPGA - korzystałem ze środowiska ACTIVE-HDL. Zawiera ono bardzo dobry symulator oraz narzędzie do pisania banchmarków. Zawsze mi wystarczało. Może powinieneś spróbować? powodzenia - pozdrawiam

    Początkujący Elektronicy   21 Wrz 2005 17:34 Odpowiedzi: 1    Wyświetleń: 812
  • Xilinx Platform Cable USB CPLD/FPGA USB download cable

    Masz na myśli to: http://www.propox.com/products/t_246.htm... ? Sic! To już lepiej zamówić za te 40$ z Chin, albo kupić coś od Digilent'a. Tak poza tym Xilinx udostępnił schemat PCUSB I (Platform Cable USB I), a towarzysze za Wielkiego Muru ochoczo wyciągnęli z niego wsad. Jak zależy Ci na czasie i pieniądzach, sprawdź ofertę Digilent'a. Nowe JTAG'i...

    Ogłoszenia Elektronika   13 Paź 2011 22:34 Odpowiedzi: 9    Wyświetleń: 2016
  • Wybór układu FPGA: Altera MAX3064/7064 czy Xilinx? Brak dostępności układów

    Ściągnij najnowszy ISE WebPack (waży coś około 150 MB) ze strony Xilinxa. U mnie chodzi bez problemu i jest to naprawdę świetne narzędzie do projektowania CPLD/FPGA. Umożliwia programowanie serii XC9500, która pewnie będzie dla Ciebie najodpowiedniejsza.

    Mikrokontrolery   29 Lis 2005 12:40 Odpowiedzi: 5    Wyświetleń: 2484
  • Spartan 3 starter kit - czy adapter USB-LPT zadziała z kablem JTAG?

    ostatnio natknąłem się na konwerter USB-LPT http://www-user.tu-chemnitz.de/~heha/bas... który podobno współpracuje z narzędziami xilinx'a Xilinx iMPACT (JTAG programmer esp. for Xilinx CPLD and FPGA) Built-in automatic port detection fails, therefore iMPACT needs the following environment variables: set...

    Programowalne układy logiczne   14 Maj 2009 23:37 Odpowiedzi: 13    Wyświetleń: 3652
  • Błąd symulacji czasowej w Activ-HDL z Xilinx ISE/WebPack 8.1 - brak jednostki

    Mam problem przy symulacji czasowej w Active-HDL'u. Wykonuje synteze i implementacje używając narzędzia Xilinx ISE/WebPack 8.1 (flow setings) wszystko przechodzi bez problemów do momentu symulacji czasowej, wyskakuje mi następujący błąd: # ELBREAD: Error: No design unit found (searched libraries: dalej_niedziala_timing, name X_OBUF). # ELBREAD: Error:...

    Programowalne układy logiczne   30 Sie 2006 00:48 Odpowiedzi: 2    Wyświetleń: 2083
  • Jakie darmowe narzędzia do programowania CPLD Xilinx XA9572 i XC2C64?

    Witam. Tak się złożyło że mam parę prototypów z układami Xilinxa XA9572 i XC2C64 oraz Lattice iM4A3-32 (legacy). Chciałbym się conieco nimi pobawić nie do końca wiem jakich narzędzi użyć (fajnie jakby były darmowe) i w jednym mógłbym wygenerować plik wynikowy dla podanych układów. Co do programowania liczę że Xilinxa mogę potraktować tym: [url=http://www.xilinx.com/itp/xilinx4/d...

    Programowalne układy logiczne   01 Lut 2011 14:43 Odpowiedzi: 1    Wyświetleń: 1234
  • Wie ktoś jak można sprawdzić szybkość działania algorytmu.

    No to zbiłeś mnie z tropu... To jest układ kombinacyjny (asynchroniczny). Jeśli chcesz zobaczyć jakie opóźnienia generuje z wejścia do wyjścia to musisz ściągnąć dodatkowy moduł wait for czas ns; ). Ustal transport/inertial opóźnienia bramek, i zmierz różnicę między wejściem i wyjściem za pomocą tych kursorów co wspominałem na początku. Te składnie...

    Programowalne układy logiczne   18 Sie 2010 11:56 Odpowiedzi: 11    Wyświetleń: 3012
  • Darmowe oprogramowanie do programowania Basys-250 Kit od Xilinx

    Kolego Tymon - czy mógłbyś napisać coś bardziej szczegółowo jak podłączyć ten iMPACT? Jak rozumiem trzeba coś ściągnać ze strony Xilinx'a, ale nie za bardzo wiem co i jak zainstalować. Będe bardzo zobowiązany za pomoc. Jak ściągniesz ISE Webpack, dostajesz iMPACT razem z nim. Można go włączyć z poziomu ISE. Plugin do współpracy JTAG'a od Digilent'a,...

    Programowalne układy logiczne   06 Maj 2011 16:54 Odpowiedzi: 10    Wyświetleń: 3674
  • Jak łagodnie wejść w świat CPLD/FPGA dla programisty C?

    Póki co nie polecałbym Vivado. Nie dość, że to nowość to jeszcze Xilinx'a - pewnie zabugowane w cholerę. Z podobnych narzędzi jest Catapult od Mentora czy Synphony z Synopsys'a.

    Programowalne układy logiczne   29 Lip 2012 15:29 Odpowiedzi: 6    Wyświetleń: 3156
  • [FPGA] ISE WebPack i Embeded zasady licencji, częstotliwość

    Sytuacje mam taką: chce odpalic projekt na XC6SLX150 - czego potrzebuję? Jaki projekt? Wybierz narzędzia, które Ci odpowiadają do realizacji konkretnego zadania: ISE WebPACK - darmowe narzędzia do implementacji logiki, rozmieszczanie i analiza(PlanAhead), symulacja (Isim) i gotowe Xilinx'a IP Core'y (CoreGenerator, część z nich darmowa). Reszta narzędzi...

    Programowalne układy logiczne   24 Sie 2013 19:01 Odpowiedzi: 5    Wyświetleń: 3305
  • CoolRunner II - Verilog: Jak uruchomić klawiaturę matrycową z pulldown?

    Tak faktycznie CoolRunner II ma tylko pullup'y - dzięki. Zainstalowałem też najnowszą wersję Xilinx ISE - nadal ten sam warning - teraz z PULLUP'ami. Plik x.ucf modyfikowałem za pomocą narzędzia xilinx PACE i w pliku nie występują żadne dyrektywy KEEPER. WARNING:Cpld - PULLUP specified for net 'SWITCH4' conflicts with previous KEEPER specification....

    Programowalne układy logiczne   19 Lip 2011 09:25 Odpowiedzi: 4    Wyświetleń: 1865
  • MUXCY, XORCY, czy inne rozwiązanie nie będzie lepsze?

    Jedyny powód by instancjonować te prymitywy to zmuszenie narzędzia do użycia tej linii. Poza tym ma same wady. Myslę że nie doceniasz Kena Chapmana. Jest tez inny powód. na przykład inny kawałek PicoBlaze: read_active_lut: LUT4 synthesis translate_off generic map (INIT => X"0100") synthesis translate_on port map( I0 =>...

    Programowalne układy logiczne   31 Maj 2008 22:28 Odpowiedzi: 9    Wyświetleń: 1621
  • VHDL/Xilinx - generowanie raportów/równań kompilacji

    Witam serdecznie! Dostałem polecenie, aby porównać raporty kompilacji, a w szczególności wygenerowane równania dla dwóch, zamieszczonych niżej kodów VHDL. Oba kody wykonują to samo zadanie, za pomocą innych instrukcji. Polecenie pochodzi z książki "Język VHDL" Kevin Skahill (ćw. 4.1). Dodam, że w tej książce autor korzystał z narzędzia WARP, który generował...

    Programowalne układy logiczne   28 Wrz 2012 12:43 Odpowiedzi: 1    Wyświetleń: 1554
  • [C++11][Cortex-M3/M4] - distortos - obiektowy RTOS dla mikrokontrolerów w C++

    Może ktoś na elektrodzie będzie miał jakąś dobrą propozycję - https://softwarerecs.stackexchange.com/q... Nie wiem czy istnieje jakakolwiek alternatywa do ccmake czy do cmake-gui. CMake to własny język skryptowy, dość prosty i przy okazji dość potężny. Punkt 6 można...

    Mikrokontrolery ARM   06 Kwi 2021 08:03 Odpowiedzi: 255    Wyświetleń: 38049
  • Biblioteka lub footprint PLCC-44 pod Xilinx XC9536XL do Altium DXP2004 – gdzie znaleźć?

    Witam, chciałbym odświeżyć ten wątek, gdyż mam ten sam problem co kolega MoSS. Jestem początkującym użytkownikiem Altium Designera - na chwilę obecną mogę powiedzieć, że narzędzie to skutecznie mnie odstrasza. Myślałem że zaprojektowanie płytki będzie szybkie i sprawne a tu lipa. Bibliotek ten program ma nawet sporo, ale XC9536 chciałbym włożyć w podstawkę...

    Projektowanie PCB   22 Paź 2010 10:15 Odpowiedzi: 5    Wyświetleń: 2810
  • Darmowe narzędzia do symulacji i debugowania VHDL na Xilinx ISE?

    Witam, Polecam ModelSim, możesz go ściągnąć za darmo ze strony xilinxa. Sam go używam i jak dla mnie super, pewnie na samym początku będziesz miał problem z pisaniem TestBench, ale jak poszukasz w książkach do VHDL, to wszystkiego się dowiesz. Oczywiście ModelSim ładnie współpracuje z ISE. Kiedyś bawiłem się ActiveHDL, tam był zintegrowany symulator....

    Programowalne układy logiczne   05 Maj 2007 20:41 Odpowiedzi: 2    Wyświetleń: 1263
  • Projekt po symulacji jest za wolny (ADC,FIR,SPartan)

    Nie jestem bardzo doświadczony w układach programowalnych, ale trochę pracowałem z XC3s700A. CO do do wygenerowania kilku częstotliwości, wydaje mi się że każdy układ z rodziny spartan 3A ma wbudowane tzw DCMy. Są to cyfrowe managery sygnałów zegarowych, które można konfigurować za pomocą darmowego Xilinx Clocking Wizard dostępnego w pakiecie WEB PACK....

    Programowalne układy logiczne   28 Maj 2009 09:13 Odpowiedzi: 2    Wyświetleń: 1346
  • Xilinx czy Altera? Koszt softu z Embeded System Design, DSP.

    Niejednokrotnie (z autopsji) bywało , że symulacja jest OK , a układ 'milczy'. Z reguły wtedy , 'teoretycy' rozkładają ręce , praktycy 'wypuszczają' test-pin'a na oscyloskop , coś tam zmieniają i... DZIAŁA !! Dziwne. Mi się to nigdy nie zdarzyło. Nawet przy niezbyt-symulowalnych modułach, jak np. PLL z pikosekundowym przesuwnikiem fazy (nie mówię tu...

    Programowalne układy logiczne   09 Wrz 2010 12:41 Odpowiedzi: 11    Wyświetleń: 3841
  • [VHDL] Jak stworzyć algorytm PWM do sterowania jasnością diody?

    A czego tu dokładnie nie rozumiesz? Prosty kodzik. Jak masz jakieś narzędzia do układów programowalnych (Xilinx/Altera) możesz podejrzeć schemat przepływowy RTL jak "wizualnie" wygląda taki układ. Oraz puścić sygnały testowe za pomocą testebench'a w symulatorze HDL i zobaczyć jak układ reaguje. Jeśli nie rozumiesz składni VHDL, polecam całkowicie za...

    Programowalne układy logiczne   12 Wrz 2010 16:32 Odpowiedzi: 4    Wyświetleń: 4133
  • iMPACT i Actel IGLO-AGL250v2 - błąd odczytu pliku BSD w łańcuchu JTAG

    Witam Jest sobie taki problem: mam płytkę na której ułożyłem 2 układy programowalne: ACTEL IGLO-AGL250v2 oraz Xilinx XC9536xl. Do podłączania używam programatora złożonego wg schematu CABLE III, oczywiście napięcie 3.3V. Połączone są ze sobą w łańcuch JTAG. Xilinxowski iMPACT bezproblemowo wykrywa 2 układy, "swój" CPLD identyfikuje i obsługuje bez problemu....

    Programowalne układy logiczne   04 Kwi 2021 12:15 Odpowiedzi: 1    Wyświetleń: 1388
  • ISE WebPack i problem z "dużymi" projektami

    Niestety produkty Xilinx'a mają to do siebie, że nie są najlepiej napisane, dlatego na ogół w profesjonalnych zastosowaniach rzadko się ich używa, w symulacji króluje ModelSim, natomiast w syntezie Synplify i Precision, które dają dużo lepsze jej wyniki, ISE jest tylko wykorzystywane do wgrywania na sprzęt. Troche dziwne podejście prawda, ale sam Xilinx...

    Programowalne układy logiczne   18 Mar 2008 00:01 Odpowiedzi: 3    Wyświetleń: 1514
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    ciekawy problem; przez wiele lat pracowałem tylko z układami Altery/Xilinxa i bylem przekonany, ze wszystkie układy FPGA akceptują tzw. init value w deklaracji, aż przyszło mi programować Lattice... dokument do serii iCE40 mówi wprost, ze wartość rejestrów po power-up jest niezdefiniowana; pomysł z wykorzystaniem 'locked' pll jest niezły; inny sposób...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1953
  • Melon S3 - płytka prototypowa z Nuvoton N32905R3DN i ESP8266

    http://obrazki.elektroda.pl/3672154100_1... Melon S3 to nowy model płytki prototypowej tajlandzkiej firmy Q-Wave Systems. Sercem Melon S3 jest mikrokontroler Spartan XC3S500E firmy Xilinx zawierający 500 tysięcy komórek logicznych. Łączność Wi-Fi umożliwia układ ESP8266 firmy Espressif Systems. Programowanie podsystemu FPGA odbywać...

    Newsy   28 Maj 2017 12:34 Odpowiedzi: 2    Wyświetleń: 2691
  • Symulacja Post-Route, jak w jednym czasie mieć 2 modele?

    Dziękuje za odpowiedź. Chyba problem leżał w metodologii testowania. Moduł opisany za pomocą prymitywów nie jest mój, tylko został stworzony przez inną osobę. Ja mam uzyskać moduł identyczny pod względem działania, opisany w sposób behawioralny. Wynikiem tych prac ma być stwierdzenie, czy narzędzie do syntezy dało sobie radę i utworzyło porównywalne...

    Programowalne układy logiczne   14 Kwi 2008 12:00 Odpowiedzi: 20    Wyświetleń: 1824
  • XC3S50AN - Jak zacząć programowanie FPGA i migająca dioda LED?

    Jak ma JTAGa to można zapewne zaprogramować przez OpenOCD (i JTAGa Można, potwierdzam że działa. Digilent ofiaruje dość tanie JTAG do Xilinx, które integrują się z software Xilinx, a dokładnie z iMPACT. Co śmieszne, są na FTDI. Software do tych maleństw to Xilinx ISE WebPack jako darmowe narzędzia do syntezy, implementacji, testowania oraz konfigurowania...

    Programowalne układy logiczne   21 Lut 2013 00:34 Odpowiedzi: 2    Wyświetleń: 2022
  • Licznik VHDL do 32 na FPGA - wszystkie diody zapalone, co robić?

    Jeśli zegar po podzieleniu poprowadzimy "z powrotem" na bufor zegarowy hmm... ale z tego co wiem nie dzieje się to automatycznie, oczywiście moge się mylić :) A tego to nie wiem, to już leży w gestii narzędzia do syntezy. Pewnie jest jakas opcja. http://www.xilinx.com/support/answers/40... Ja mialem problemy raczej jak narzędzie syntezy wstawilo...

    Programowalne układy logiczne   11 Sty 2008 14:39 Odpowiedzi: 15    Wyświetleń: 2196
  • Czy warto inwestować czas w stare układy CSOC firmy Triscend?

    Porównywanie procesorów i układów programowalnych to jak porównywanie autobusu z ciężarówką. Każdym da się przewieźć 50 osób albo 5 ton piachu. Ale problem z załadunkiem (programowaniem/konfiguracją) i rozładunkiem- użytkowaniem. Produktami starymi można się "bawić"- niektórzy to lubią. Ale nie stosować. Narzędzia darmowe(IDE) są na pewno do AVR, Xilinx...

    Mikrokontrolery   11 Lis 2009 18:27 Odpowiedzi: 4    Wyświetleń: 3367
  • Jaki wybrać CPLD jako zastępstwo dla układów serii 74xxx

    Też myślałem nad XC9536, nawet mam programatory do XILINXa, ale spotkała mnie przykra niespodzianka - kiedyś bawiłem się w WebISE XILINXa i wszystko było ok, wczoraj zainstalowałem sobie od nich następcę - Vivaldo i zonk - na liście wspieranych układów nie ma rodziny XC95XX. Nie wiesz czy po prostu już ich nie wspierają? Pojęcia nie mam. Czasem jest...

    Programowalne układy logiczne   14 Kwi 2017 21:04 Odpowiedzi: 29    Wyświetleń: 5778
  • Sprawdzanie poprawności kodu VHDL: Narzędzia i metody detekcji błędów

    I błędem, którego nie wykrywa, jest przypisywanie wartości tego samego sygnału w dwóch miejscach programu, które potencjalnie mogą się wykonywać jednocześnie (a nawet są wyraźnie tak napisane, by wykonywały się jednocześnie). Jeśli program z takim błędem próbuje się skompilować do postaci ładowalnej do FPGA, to na jakimś etapie kompilacji zostanie...

    Programowalne układy logiczne   20 Lip 2022 23:05 Odpowiedzi: 2    Wyświetleń: 699
  • Materiały do nauki syntezy automatów na AGH - gdzie szukać?

    na temat syntezy automatów jest kilka książek ale proponuje przejerzeć strony producentów narzędzi do syntezy , oczywiście wszystki są w języku angielskim, xilinx altera, lattice, można również poszukac w necie samego tematu synteza też kiedyś znalazłem kilka ciekawych art. ale też wszystkie w jezyku ang jeśli masz z tym jakies problemy to musisz się...

    Początkujący Elektronicy   27 Paź 2003 07:39 Odpowiedzi: 2    Wyświetleń: 1083
  • Jak przesłać 30 bitów w 1µs przez światłowód? Wybór sprzętu i technologii

    www.xilinx.com może są tam wcześniejsze wersje narzędzi (do CPLD zawsze były darmowe) które się nadają do 98. Ja nie mam pojęcia bo z 98 uciekłem już dawno. w Polsce dystrybutorem kości jest Avnet-Silica. bez problemu i szybko, Jest też FAE, poradzą Tobie w sprawie softu na 98.

    DSP i Transmisja   28 Gru 2005 22:28 Odpowiedzi: 21    Wyświetleń: 2675
  • CPLD i port dwukierunkowy - jak narysować schemat z bramek?

    http://obrazki.elektroda.pl/2603766200_1... W układach Xilinx'a, jeśli o nich mówimy, to w prymitywach jest widziany jako OBUFT (pomarańczowy bufor), czyli w schematicu, którego korzystasz też. Tak samo będzie w innych narzędziach.

    Programowalne układy logiczne   18 Wrz 2011 12:43 Odpowiedzi: 2    Wyświetleń: 1421
  • Czy porty inout w VHDL muszą być w liście wrażliwości procesu?

    strdaniel: a zrobiłeś tak kiedyś ??? spróbowałeś ??? działa ??? podaj narzędzie którym to zrobiłeś i układ na którym masz to zaimplementowane Np. ISE Xilinxa. działa jak trzeba, bez problemu (np. szyny dwukierunkowe do pamięci, sprzęg do klawiatury ps2) Nie wierzysz? obejrzyj przykłady do starterkita Spartan3 i mąć w głowach innym

    Programowanie   29 Maj 2006 19:39 Odpowiedzi: 9    Wyświetleń: 1742
  • Jak przyspieszyć programowanie FPGA Spartan3 przez JTAG z pliku *.BIT?

    A więc jednak jest to gdzieś opisane, nie? ;) Co do narzędzi programujących pod linuksa, to problem jest ze sterownikami. Dla programatora podłączanego do portu równoległego Xilinx dostarcza tylko beznadziejne sterowniki binarne oparte na "rozwiązaniu" Jungo = fatalna dziura bezpieczeństwa. Co do kabli z interfejsem USB, to od wersji 10.1 narzędzia...

    Programowalne układy logiczne   15 Lut 2009 14:25 Odpowiedzi: 18    Wyświetleń: 2747
  • Jak zaprogramować uC do prostych funkcji logicznych?

    Mam do was takie pytanie gdyż nie jestem pewny. Mianowicie od czasu do czasu potrzebuje zrobić jakiś układ logiczny który ma realizować jakas tam funkcje . Jak do tej pory robilem takie rzeczy układach seri 74xx. Idealnie nadadzą do tego się układy CPLD, 32/36 makrocelle kupisz już za 5 zł. A funkcje logiczne cię nie ograniczają, możesz zrobić całkowicie...

    Mikrokontrolery AVR   17 Lip 2011 20:06 Odpowiedzi: 16    Wyświetleń: 2993
  • [VHDL] Sprawdzenie poprawności kodu mini-gry z losowaniem diod i przyciskami P60/P61

    Prawdę mówiąc to za bardzo nie wiem nic o symulatorze Smutne to... Ściągnij sobie ze strony Xilinxa ModelSim, wystarczy się zarejestrować. Bez tego daleko nie ujedziesz. Nikt nie jest czarodziejem żeby zgadywać czy to co napisałeś zadziała w sposób jaki sobie wymarzyłeś. Jak już dobędziesz symulatora, to sprawdzenie tego czy działa, nie zajmie ci wiele...

    Programowalne układy logiczne   02 Gru 2008 13:50 Odpowiedzi: 10    Wyświetleń: 2128
  • WebPack - brak automatycznego generowania sygnału zegarowego w symulacji

    Witam, W większości przypadków wbudowany symulator wystarcza do celów hobbistycznych. ModelSim jest bardzo rozbudowanym narzędziem i rzeczywiście brak jest jakiegoś fajnego tutoriala. Te które są dostępne opisują samodzielna pracę a nie współpracę z ISE. Poza tym darmowa wersja ma sporo ograniczeń. Do wewnętrznego symulatora polecam "ISE Simulator In-Depth...

    Programowalne układy logiczne   15 Paź 2009 07:26 Odpowiedzi: 20    Wyświetleń: 2667
  • Szybkie projektowanie PCB przy minimalnym nakładzie pracy - PULSONIXSponsorowany

    https://obrazki.elektroda.pl/9764138600_... W ofercie firmy Evatronix SA, posiadającej wieloletnie doświadczenie w sprzedaży profesjonalnych narzędzi inżynierskich dla elektroników i mechaników, pojawiło się oprogramowanie do projektowania obwodów drukowanych PULSONIX firmy West Dev Ltd. Jest to intuicyjne oprogramowanie oferujące...

    Projektowanie PCB   03 Kwi 2020 20:30 Odpowiedzi: 54    Wyświetleń: 10830
  • Intel i RISC-V - dwa konkurencyjne ekosystemy zbierają siły

    https://obrazki.elektroda.pl/2222996400_... Tak Intel, jak i wszystkie firmy skupione wokół RISC-V ogłosiły w ostatnim czasie formowanie dużych konsorcjów, mających za zadanie rozwijanie ekosystemów skupionych wokół tych układów. Intel stworzył konsorcjum Compute Express Link (CXL), które skupione ma być wokół otwartego interkonektu...

    Newsy   26 Mar 2019 18:38 Odpowiedzi: 1    Wyświetleń: 1179
  • Jak zacząć projekt z dynamiczną rekonfiguracją FPGA na Virtex II 3000?

    Witam ponownie, Temat przez jakiś czas przeleżał w zapomnieniu jednak ostatnio postanowiłem go odświeżyć dlatego mam pytania. Czy ktoś analizował kiedyś przykładową pracę (notabene jedyny dobrze opisany tego typu projekt w sieci) o dynamicznej rekonfiguracji FPGA Pana Gregorego Mermouda - znajduje się pod tym adresem http://icwww.epfl.ch/~gmermoud//files/pu...

    Programowalne układy logiczne   23 Sie 2009 12:13 Odpowiedzi: 10    Wyświetleń: 2482
  • Xilinx Spartan - Jak użyć DCM do generacji sygnału 1Hz z zegara 100MHz?

    Jasne, Spartan 3 ;) Mam małą płytkę 100k bramek, używam narzędzi z Xilinxowego Webpacka. Nie musi się dać podzielić do 1Hz, wystarczy cokolwiek mniej niż 10MHz, może jakieś kHz, to już wystarczy.

    Programowalne układy logiczne   08 Sty 2013 23:46 Odpowiedzi: 11    Wyświetleń: 2289
  • Moduł z Zynq Ultrascale+ z wsparciem dla Xilinx AI

    https://obrazki.elektroda.pl/6640971200_... Firma iWave zaprezentowała zestaw deweloperski dla opartego na Linuksie modułu iW-Rainbow G30M wykorzystującego układ Zynq Ultrascale+ z obsługą nowej platformy AI Xilinx. Xilinx tworzy obecnie liczne powiązaną technologię sztucznej inteligencji dla swoich nowych układów – produkowanych...

    Newsy   10 Paź 2019 18:12 Odpowiedzi: 0    Wyświetleń: 957
  • Darmowe środowiska i symulatory VHDL dla FPGA - co polecacie?

    Tak, płytka Terasic DE0-Nano jest bardzo dobra na początek. Można kupić dość tanio w Kamami. Cyclone IV na pokładzie daje sporo możliwości, zarówno przy projektowaniu "zwykłej logiki" chociażby w VHDL/Verilogu jak też daje możliwość pobawić się trochę w uruchomienie całego systemu mikroprocesorowego, gdzie mógłbyś połączyć programowanie w C z projektowaniem...

    Programowalne układy logiczne   23 Sie 2014 05:58 Odpowiedzi: 7    Wyświetleń: 2655
  • Jak odzyskać kod z FPGA Xilinx XC3S400 na płytce Opal Kelly – czy to możliwe?

    Oczywiście, teoretycznie może się to udać. Z tego co pamiętam, to spartan3 nie ma żadnego wsparcia dla szyfrowania bitstreamów, więc powinno Ci się go udać odczytać np. z PROMu. Są też narzędzia do dekodowania bitstreamu, ale to bardziej do celów naukowych. Nawet gdyby udało Ci się go zdekodować, to dostaniesz z tego najwyżej RTL oparty na prymitywach...

    Programowalne układy logiczne   16 Sty 2009 10:58 Odpowiedzi: 1    Wyświetleń: 978
  • Podstawy związane z FPGA... (środowisko + literatura).

    Jeszcze chciałem zapytać o narzędzia (środowiska) do wizualnego projektowania układów FPGA. Czy producenci chipów takie oferują? (a może firmy trzecie?) Chodzi mi o funkcjonalność podobną do MATLAB/Simulink gdzie schemat logiczny układa się graficznie z pojedynczych bloków, na starcie mamy wszystkie wejścia i wyjścia, a użytkownik łączy odpowiednie...

    Programowalne układy logiczne   23 Lis 2014 13:48 Odpowiedzi: 8    Wyświetleń: 2760
  • Gdzie kupić układy Altera MAX7000S w Polsce? Czy warto przejść na Xilinx?

    Proponuję zastosować ATF1508AS Atmela jako tani odpowiednik MAX7128S Altery. Projekt z Max++ można przekonwertować narzędziami Atmela i nagrać ISP. Do kupienia w JM Elektronik cena ok 30 PLN.

    Mikrokontrolery   30 Maj 2005 21:02 Odpowiedzi: 4    Wyświetleń: 1497
  • [FPGA] czasy propagacji - jak sobie z tym poradzić

    Taka. a nie inna jak na Spartan-3 niska częstotliwość Twojego algorytmu wyniku z "programistycznego" podejścia do sprawy. Pisanie dziwnych warunków i tworzenie nie wiadomo jakiej logiki kombinacyjnej. Jeśli duże 32-bitowe soft-procesory można rozbujać do 100MHz, to coś nie tego ten Twój algorytm (bo nie zakładam nie wiadomo czego), większości wypadków...

    Programowalne układy logiczne   18 Sie 2011 18:28 Odpowiedzi: 3    Wyświetleń: 1992
  • Wymiana NVRAM M48Z35-70PC1 w sterowniku SP8 gilotyny HACO - gubienie ustawień

    Flash to AM29F160DT - 75EF W pobliskiej lokalizacji znajduje się jeszcze : XILINX SPARTAN XCS20 (PROGRAMOWALNE BAMKI LOGICZNE) Oraz procesor Intel EN80C1188EB20 Ogółem problem z maszyna jest taki że gubi ona nastawy operatora i kalibracje narzędzia po wył i wł zasilania. Po uruchomieniu maszyna ma standardowe nastawy.

    Automatyka Przemysłowa   24 Lut 2018 11:43 Odpowiedzi: 4    Wyświetleń: 609
  • Wybór programu do syntezy VHDL z obsługą przerzutników RS, alternatywy dla Synplify

    chciałbym tylko sprostować wypowiedź kolegi pakiet ise wcale nie jest darmowy, to jest olbrzymie narzędzie do sytnezy i implementacji firmy xilixn, ale nie jest darmowy, kosztuje i to całkiem sporo... wersja o której mówisz do ściągnięcia ze stron xilixna jest free ale jest to evaluation verion , z dużymi ograniczeniami...\ jest taki program "leonardo"...

    Mikrokontrolery   11 Paź 2003 20:27 Odpowiedzi: 17    Wyświetleń: 3528
  • Jak wygenerować kod VHDL z języka wyższego poziomu dla Spartan II?

    Quartus jest w dwóch wersjach nie bede opisywał różnic bo można to po prostu przeczytać zaglądając tu http://www.altera.com/literature/po/ss_q... . I nic tam nie widzę na temat ograniczeń ilości liczby elementów. Może małą kość wybrałeś i dlatego taki wniosek. Wątpię aby Altera zrobiła taki krok bo jako jeden z czołowych producentów układów...

    Programowalne układy logiczne   19 Sie 2011 14:01 Odpowiedzi: 3    Wyświetleń: 2153
  • Programowanie Xilinx Virtex II na Multimedia Board VMLTA2000 z EDK 6.2i - usuwanie przeplotu

    Witam Czy ktoś z Was ma doświadczenie w programowaniu tego typu układów, chodzi mi o produkt Xilinxa tzn. Multimedia Board VMLTA2000 w którym wykorzystano układ Virtex II oraz pamięci ZBT, narzędziem używanym jest EDK w wersji 6.2i Jest to oczywiście projekt na studia a jego tematem jest usuwanie przeplotu metodą bezstratną Problemy rosną wykładniczo...

    Mikrokontrolery   23 Maj 2005 11:39 Odpowiedzi: 0    Wyświetleń: 757
  • [RISC-V]Doświadczenia z architekturą RISC-V vs ARM - Digilent Arty A7

    Jeśli ma kolega przebudować rdzeń ARM i go opisać w FPGA to koszta wyjdą podobne jak wykorzystanie rdzenia RISC-V. Dodatkowo RISC-V jest otwartym projektem więc nie potrzebana jest tak naprawdę licencja. I o co chodzi z projektowaniem krzemu? Możesz rozwinąć myśl? Bo mi się wydawało, że rozmawiając o RISC-V rozmawiamy o modelu programowym opisanym...

    Projektowanie i Tworzenie Po godzinach   15 Maj 2019 23:26 Odpowiedzi: 37    Wyświetleń: 2526
  • VHDL: Jak przekonwertować real na std_logic_vector i odwrotnie bez utraty danych?

    Taka mała dygresja: narzędzia do syntezy, które do tej pory używałem nie syntezują typu "real". Tak więc nie bardzo wiem po co ci ta informacja. Xilinx ISE sam sobie potrafi operować na zmiennych typu real, jednak wcześniej czy później trzeba je skonwetować na coś bardziej strawnego np int albo std_logic_vector. Nie testowałem, aczkolwiek wcale by...

    Programowalne układy logiczne   30 Kwi 2009 23:52 Odpowiedzi: 3    Wyświetleń: 1241
  • Wybór zestawu Development Kit do nauki FPGA i VHDL dla początkującego

    Witam wszystkich, którzy tu zajrzeli. Zainteresowałem się trochę układami FPGA i chciałbym się dowiedzieć czegoś więcej na ich temat (tak, tak, wiem o linkach i już je mam). Na początek może kilka słów o sobie... Z zawodu jestem informatykiem, ale z charakteru wykonywanej pracy, raczej nie przez duże "I". To znaczy zajmuję się komputerami i czasami...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16778
  • Błędy syntezy DCM w projekcie FPGA Spartan 3A - brak portów w module

    Nie wiem od czego zaczac :-) Wedlug http://www.xilinx.com/itp/xilinx10/books... w SPARTAN 3E nie ma DCM, tylko DCM_SP, ale moze jakies sprytne narzedzie Ci to przemapuje. DCM, jaki i DCM_SP nie maja portow 'CLKIN_IN', 'RST_IN', 'CLKIN_IBUFG_OUT', 'CLK0_OUT', 'CLK2X_OUT' tylko 'CLKIN', 'RST', 'CLKIN_IBUFG', 'CLK0', 'CLK2X'....

    Programowalne układy logiczne   04 Mar 2009 12:49 Odpowiedzi: 5    Wyświetleń: 1806
  • Zynq UltraScale+ w formie modułu SiP z małym modułem OSDZU3

    https://obrazki.elektroda.pl/1292312900_... https://obrazki.elektroda.pl/4486622200_... Moduł SiP o wymiarach 40 mm x 20,5 mm — OSDZU3 — firmy Octavo Systems działa pod opieką Linuxa na wyposażonym w układy FPGA i cztery rdzenie ARM Cortex-A53 Zynq UltraScale ZU3 MPSoC z 2 GB pamięci LPDDR4. Płytka bazowa...

    Newsy   06 Kwi 2022 07:21 Odpowiedzi: 0    Wyświetleń: 429
  • [FPGA] Jak zacząć z FPGA? Środowisko, języki, producenci, materiały dla początkujących

    Na devkitach Xilinxa często znajduje się programator USB zamontowany już na płytce. Programator USB można też kupić u Digilenta, jest tańszy niż Platform Cable Xilinxa. O zaczynaniu zabawy z układami programowalnymi było na tym forum już sporo tematów. Najlepszy sposób na rozpoczęcie to moim zdaniem pozyskanie jakiegoś z darmowych środowisk Xilinxa...

    Programowalne układy logiczne   12 Cze 2008 06:50 Odpowiedzi: 3    Wyświetleń: 6240
  • Siglent SDS1104X-E - jak wyglądają zbocza narastające w praktyce?

    Drążę, bo planuje kupić ten oscyloskop, ale zanim wydam pieniądze, chciałbym o nim wiedzieć wszystko. ... Proponuję taką lekturę przed zakupem SDS1xx4X-E : 1. https://www.eevblog.com/forum/testgear/n... 2. https://www.eevblog.com/forum/testgear/s...

    Warsztat co kupić?   17 Gru 2020 05:57 Odpowiedzi: 60    Wyświetleń: 11439
  • [VHDL + Xilinx EDK] Problem z dodawaniem własnego komponentu

    if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); else // TUTAJ !! case slv_reg_write_select is when "100" => slv_reg0 <= Bus2IP_Data; when "010" => slv_reg1 <= Bus2IP_Data;...

    Programowalne układy logiczne   19 Maj 2009 17:24 Odpowiedzi: 11    Wyświetleń: 2640
  • Płytka rozwojowa Mercury 2 dla FPGA Xilinx Artix-7

    https://obrazki.elektroda.pl/6754198900_... https://obrazki.elektroda.pl/7543130400_... Chociaż obecnie spotykamy coraz więcej płytek rozwojowych z ukłądami FPGA, bardzo niewiele jest zaprojektowanych do umieszczenia na płytce stykowej. Te które wspierają taką możliwość są jednak zazwyczaj oparte na podstawowych układach...

    Newsy   02 Paź 2020 14:44 Odpowiedzi: 2    Wyświetleń: 1236
  • Architektura RISC-V i narzędzia jej dedykowane - wprowadzenie

    https://obrazki.elektroda.pl/4530802700_... Ostatnie newsy nie kłamią - wszyscy mówią o procesorach RISC-V, nowej otwartej architekturze etc. Ale o co w sumie chodzi? Jeżeli przespałeś ostatnie pół roku, to artykuł ten może być dla Ciebie - przyjrzymy się poniżej z czym w zasadzie jest związane popularne hasło RISC-V oraz jakie obecnie...

    Newsy   29 Mar 2019 11:48 Odpowiedzi: 0    Wyświetleń: 870
  • Mikrokontrolery, kierunki rozwoju, linux czyli "reaktywacja"

    Zatwardziały windziarz (kilka latek), też przeszedł na Linux'a i to już definitywnie nie ma odwrotu, to jest jak narkotyk :D Nie ma lepszej platformy do developing'u, hardware czy software. 1. IDE Mam jedno środowisko, ale do wszystkiego. Jest to Eclipse Classic (obecnie Indigo) z masą pluginów. IDE strasznie intuicyjne i podatne na modyfikacje. Nic...

    Mikrokontrolery   08 Wrz 2011 10:47 Odpowiedzi: 25    Wyświetleń: 4586
  • Konkurs - do czego wykorzystasz FPGA? WYNIKISponsorowany

    W Internecie jest sporo materiałów na temat detekcji obiektów, rozpoznawania obiektów czy szacowania odległości od przeszkód. Pozbieram trochę informacji i w niedalekiej przyszłości (może przed świętami) zacznę nowy wątek w dziale FPGA. Temat jest bardzo interesujący i FPGA ze względu na równoległe przetwarzanie powinno tutaj się równie dobrze nadawać...

    Artykuły   16 Gru 2019 18:57 Odpowiedzi: 87    Wyświetleń: 10755
  • Wybór układu FPGA z HPS do nauki i projektów dźwiękowych oraz identyfikacji

    Oprogramowanie dla ZYNQ'a jest zupełnie inne niż dla starszych FPGA Xilinxa - Vivado zupełnie inaczej się zachowuje niż ISE i moim zdaniem ma przyzwoitszy workflow od Quartusa Altery Nie jest wymagane korzystanie z Vivado przy pracy z układami Zynq. Ostatnio wykonywałem pewien projekt na układzie Zynq z płytką Zedboard i robiłem to w ISE z poziomu...

    Programowalne układy logiczne   22 Paź 2014 11:55 Odpowiedzi: 4    Wyświetleń: 2889
  • Xilinx prezentuje Versal AI Edge z rdzeniami Cortex-A72 i R5, FPGA

    https://obrazki.elektroda.pl/5513469600_... AI na krawędzi rozwiązuje problemy z opóźnieniami i bezpieczeństwem poprzez akcelerację sztucznej inteligencji na urządzeniu w celu uzyskania optymalnych obliczeń przy niskim poborze mocy. Xilinx zaprezentował swoją serię układów Versal AI Edge, która jest czwartym członkiem rodziny Adaptive...

    Newsy   19 Cze 2021 12:52 Odpowiedzi: 1    Wyświetleń: 936
  • Sidekiq Z2 - nowy moduł SDR z układem Zynq

    https://obrazki.elektroda.pl/2966478500_... Firma Epiq Solutions wprowadziła właśnie do sprzedaży swój nowy moduł radia definiowanego programowo (SDR) - Sidekiq Z2. Moduł ten ma wymiary zaledwie 51 mm x 30 mm, ale na pokładzie ma bardzo potężny układ Xilinxa: Zynq-7010 praz zintegrowany transceiver RF AD9364 od Analog Devices. Pracuje...

    Newsy   02 Maj 2018 12:01 Odpowiedzi: 0    Wyświetleń: 1599
  • Start-up umieszcza rdzenie AI w dyskach SSD

    https://obrazki.elektroda.pl/4798745700_... Startup InnoGrit zadebiutował na rynku z zestawem trzech kontrolerów dla dysków półprzewodnikowych (SSD), w tym jednego dedykowanego do centrów danych. Kontrolery te posiadają wbudowany akcelerator sieci neuronowych. Wchodzą w ten sposób na zatłoczony rynek kontrolerów z oczywistą z przewagą...

    Newsy   16 Sie 2019 19:20 Odpowiedzi: 3    Wyświetleń: 1767
  • Zestaw deweloperski SDR na ZYNQ UltraScale+

    https://obrazki.elektroda.pl/8453639700_... Firma Avnet wprowadziła do swojej oferty nowy zestaw rozwojowy, określony RFSoC. Rozszerza on zestaw ewaluacyjny Xilinx dla układów Zynq UltraScale+ RFSoC z systemem Linux. Zestaw dodaje do systemu interfejs Qorvo 2 × 2 Small Cell RF do prototypowania systemów SDR (radia definiowanego programowo)...

    Newsy   24 Wrz 2019 10:18 Odpowiedzi: 0    Wyświetleń: 570
  • [Praca] Programista układów FPGA

    Witam! Mam przyjemność poinformować, że Firma TRUMPF Huettinger Sp. z o.o. poszukuje pracowników na stanowisko Programista układów FPGA TRUMPF Huettinger jest światowym liderem w produkcji zasilaczy do procesów plazmowych, wzbudzania laserów CO2, oraz aplikacji nagrzewania indukcyjnego. W procesach plazmowych wykonywane są półprzewodniki, szkło architektoniczne,...

    Projektowanie Bazar   21 Lis 2014 11:52 Odpowiedzi: 0    Wyświetleń: 1440
  • Trzy kluczowe czynniki przy wyborze systemu operacyjnego czasu rzeczywistego (RTOS)

    Modele licencjonowania, ograniczenia modyfikacji kodu źródłowego oraz certyfikacja branżowa to najważniejsze kwestie biznesowe, które należy uwzględnić przy stawianiu na System Czasu Rzeczywistego (RTOS). W poniższym artykule przyjrzymy się tym czynnikom i temu, w jaki sposób powinny się one przełożyć na wybór samego RTOS-a. Systemy operacyjne czasu...

    Artykuły   10 Wrz 2023 17:13 Odpowiedzi: 0    Wyświetleń: 1359
  • Xilinx przedstawia UltraScale+ w formie modułów InFO

    https://obrazki.elektroda.pl/3037245900_... Xilinx rozszerzył swoją ofertę układów UltraScale+ o nowe, ekonomicznie układy, które zostały wyprodukowane przy użyciu najnowocześniejszej technologii zintegrowanych obudów InFO firmy TSMC. Umożliwia to wysoką gęstość obliczeniową w kompaktowej obudowie dla inteligentnych aplikacji brzegowych....

    Newsy   30 Mar 2021 15:36 Odpowiedzi: 0    Wyświetleń: 732
  • [Sprzedam] Xilinx Spartan-3E 500 Starter Kit (HW-SPAR3E-SK-EU)

    Witam! Mam do sprzedania zestaw uruchomieniowy firmy Xilinx z układem z rodziny Spartan-3E. Zestaw świetnie nadaje się do uruchamiania małych i średnich projektów, do nauki obsługi narzędzi Xilinx i do nauki języków HDL. Płytka używana kilka razy, stan idealny. Płyta świetna na prezent dla elektronika :) Parametry: Xilinx Spartan-3E 500 Starter Kit...

    Ogłoszenia Elektronika   09 Gru 2011 22:49 Odpowiedzi: 0    Wyświetleń: 1609
  • Xilinx atakuje systemy w hiper-skali

    http://obrazki.elektroda.pl/9196015700_1... Xilinx dołącza do wyścigu o największe data center na świecie. O przetwarzanie w hiper-skali. Firma w wyścigu tym konkurować chcę z Alterą, która zakupiona została niedawno przez Intela. Rozwój platformy Intela ściśle związany jest z Project Catapult Microsoftu - technologią, stojącą za hiperskalowymi...

    Newsy   22 Lis 2016 18:12 Odpowiedzi: 0    Wyświetleń: 1617
  • Gdzie znajdują się układy FPGA w wyścigu o miejsce w elektronice samochodowej?

    https://obrazki.elektroda.pl/4808811400_... Procesory uczenia maszynowego, czy układy typu system-on-a-chip (SoC) zintegrowane z akceleratorami AI są na celowniku przemysłu motoryzacyjnego; wynika to głównie z faktu, że tego rodzaju elementy już dawno uznano za krytyczne dla utrzymania wysokiego poziomu bezpieczeństwa w pojazdach...

    Newsy   03 Lip 2018 19:17 Odpowiedzi: 0    Wyświetleń: 1584
  • LabVIEW w wersji 2013 już dostępny

    http://obrazki.elektroda.pl/4629486300_1... Dostępna jest już najnowsza wersja wiodącej w świecie platformy projektowej LabVIEW 2013. Nowa wersja jest oczywiście w pełni kompatybilna z poprzednią, więc dotychczasowi użytkownicy nie muszą się martwić o konwertowanie swoich projektów oraz naukę narzędzi. Dla nowych użytkowników natomiast,...

    Newsy   07 Sie 2013 20:58 Odpowiedzi: 0    Wyświetleń: 1455