REKLAMA

przebieg prostokatny

Znaleziono około 197 wyników dla: przebieg prostokatny
  • Przebieg prostokatny i transformator

    Co otrzymamy na wyjsciu transformatora sieciowego po zasileniu go przebiegiem prostokatnym ze strony niskiego napiecia. poprosze o jakis rysunek

    Elektro Maszyny i Urządzenia   17 Sty 2007 21:31 Odpowiedzi: 24    Wyświetleń: 10302
  • S7-214 wyjscie impulsowe i przebieg prostokatny

    Wyczytalem, ze s7214 posiada dwa wyjscia impulsowe na Q1.0 i Q1.1. i nie jestem tego pewien poniewaz kiedy zalaczam to wyjscie to slysze przekaznik. Czy to mozliwe ze to wyjscie jest impulsowe? Drugie pytanie. Chcialbym wygenerowac przebieg prostokatny za pomoca s7-214 ktory jest na przekaznikach. Generator PTO moze wygenerowac przebieg tylko na wyjsciach...

    Automatyka Przemysłowa   19 Sie 2010 18:14 Odpowiedzi: 2    Wyświetleń: 1812
  • Przebieg prostokatny na LPT

    Witam! Opanowałem ostatnio obsługę LPT w dev-c++. Chciałbym uzyskać na wyjściu np(D0) przebieg prostokątny o dowolnie wybranej częstotliwości np.1kHz, oraz aby była możliwość określenia liczby impulsów. Proszę o podpowiedzi jakich bibliotek użyć, itp. Pozdrawiam

    Programowanie   06 Wrz 2007 03:33 Odpowiedzi: 2    Wyświetleń: 933
  • REKLAMA
  • Pomiar wypelnienia przebiegu na trzech kanalach rownoczesnie

    W projekcie, ktorym obecnie sie zajmuje, mam dwa akcelerometry ADXL213 (w ukladzie beda uzywane 3 osie pomiarowe), ktore na wyjsciu daja przebieg prostokatny o stalej czestotliwosci i o zmiennym wypelnieniu proporcjonalnym do wartosci przyspieszenia dzialajacego na sensory ukladu. Wg notki jest tam na wyjsciu 14 bitowy modulator wypelnienia. Moje pytanie...

    Mikrokontrolery   13 Sty 2005 21:54 Odpowiedzi: 8    Wyświetleń: 1554
  • generator przebiegu piłokształtnego 20 khz

    Dziękuje wszystkim za odpowiedzi. Okazalo sie. ze najlepszym rozwiazaniem jest zastosowanie odpowiedniego wz. op. TL 072 dziala tak jak trzeba. Udalo sie wygenerowac przebieg prostokatny o odpowiednich parametrach - wystarczy jedynie calkowanie. UA741 nie dawal rady.

    Projektowanie Układów   18 Gru 2006 14:03 Odpowiedzi: 25    Wyświetleń: 7129
  • REKLAMA
  • generator przebiegu o okreslonej czestotliwosci

    Oczywiście że możesz/.../ nie jest to takie oczywiste; Tylko, że kwarc podłączony do FPGA/.../ no wlasnie, pytanie bylo, czy da sie uzyskac dana czestotliwosc bez kwarcu ; /.../ niby w vhdl mozesz napisac cos, co na symulatorze da ci przebieg prostokatny o wymaganym okresie, ale zsyntetyzowac sie tego nie da, fpga generalnie musi miec zewnetrzyny zegar,...

    Programowalne układy logiczne   02 Sty 2008 19:25 Odpowiedzi: 2    Wyświetleń: 1341
  • SG3525 i synchronizacja z zewnetrznym przebiegiem ...

    Witam Chce regulowac czestotliwosc przebiegu na wyjsciu SG3525 za pomoca pinu SYNC z generatora, ktory przestrajam z dokladnoscia 0.05Hz lub 0.1Hz i tak tez chce zmieniac f_out na pinie A i B ukladu. W nocie nie pisze jak nalezy podlaczyc by byla synchronizacja... czy elementy Rt i Ct wyrzucamy calkowicie? bo jesli tak zrobie i na pin SYNC podam przebieg...

    Projektowanie Układów   01 Mar 2008 19:34 Odpowiedzi: 1    Wyświetleń: 1060
  • Układ wykrywający zwiększanie się częstotliwości przebiegu

    Jestem poczatkujacym i mam problem z nastepujacym zadaniem: "Zaprojektowac uklad wykrywajacy zwiekszenie sie czestotliwosci przebiegu wejsciowego powyzej zadanej wartosci fo. Gdy fwe > fo, to sygnal wyjsciowy ma miec wartosc 0, natomiast gdy fwe < fo, to na wysjciu powinien pojawic sie przebieg prostokatny o czestotliwosci 1/2 fwe." Nie bardzo...

    Nauka Elektroniki, Teoria i Laborki   11 Paź 2009 18:39 Odpowiedzi: 8    Wyświetleń: 2542
  • Generator przebiegu piłokształtnego , problem .

    Cuz mam juz zrealizowane wlasne rozwiazanie, wprawdzie dosc skomplikowane ale dziala. Do jednego wejścia bramki and podlaczamy multiwibrator monostabilny o okreslonej dlugosci impulsu, do drugiej generator przebiegow prostokatnych (czestotliwosc ok 10kHz moze byc). Sygnal z bramki podlaczamy do clocka licznika 8-bitowego. Sygnaly z wyjsc licznika do...

    Projektowanie Układów   29 Lip 2006 19:41 Odpowiedzi: 8    Wyświetleń: 3807
  • REKLAMA
  • GALA - JAKI PRZEBIEG I CZESTOTLIWOSC...

    Witam Jak wiadomo do navi i auto. reg. glosnosci potrzeba ten sygnal. nie mam go w aucie ale chce zasymulowac przy pomocy elektroniki, tylko nie wiem jak wyskalowac przebieg. domyslam sie ze jest on prostokatny o wypelnieniu 50%. ale jaka czestotliwosc jest dla danej predkosci? jest ona taka sama dla wszystkich aut? dzieki. pzdr.

    CarAudio   24 Maj 2006 19:05 Odpowiedzi: 0    Wyświetleń: 699
  • Podwójny generator przebiegu prostokątnego 3-20000Hz

    Jak na generator, to ma stanowczo za duza rezystancje wyjsciowa. Nalezalo dodac jakis wzmacniacz operacyjny w roli wtornika lub ostatecznie prosby bufor na tranzystorze. A sprzed audio, faktycznie czasem bada sie sygnalem prostokatnym.

    DIY Konstrukcje   13 Lut 2008 14:25 Odpowiedzi: 27    Wyświetleń: 9344
  • Matlab - aproksymacja przebiegu prostokątnego

    To temat z Laboratorium teorii systemów, Musze zrobic sprawozdanie dlatego prosze o pomoc... Prowadzacy wyznaczyl mi sygnał prostokątny - jak ten do badan. Wyznaczylem analitycznie wspołczynniki Fk i Fo. (zespolonego wykładniczego Fouriera) - ich postac przedstawiam w załaczniku(plik .doc) Posiadam aplikacje(ktora uzyskałem od prowadzącego) za pomocą...

    Początkujący Elektronicy   06 Kwi 2005 22:59 Odpowiedzi: 4    Wyświetleń: 9846
  • generator sygnalow prostokatnych

    Witam mam zaprojektowac i oprogramowac uklad z wykorzystaniem mikrokontrolera 8051 tak aby dzialal jako generator przebiegow prostokatnych w standarcie TTL o czestotliwosci (regulowanej) 1hz,10hz,100hz,1khz10khz. Calosc ma byc starowana za pomoca 2 przyciskow. Ma posiadac takze mozliwosc generowania sygnalow w trybie manual. Oprogramowac bym potrafil...

    Początkujący Elektronicy   12 Sty 2007 17:05 Odpowiedzi: 2    Wyświetleń: 1218
  • Jak wzmocnic sygnal prostokatny bez wiekszych znieksztalcen?

    Witam! Dla czego jesteś taki tajemniczy, powiedz, do czego to ma służyć, w tedy będzie się myślało pod tym kontem, to, co robiłem to była praca dyplomowa, tego jest sporo, ale jest, był to generator mocy, do sprawdzania obwodów rezonansowych, równoległych i szeregowych, razem z generatorem o trzech przebiegach i z odczytem częstotliwości. Pozdrawiam

    Projektowanie Układów   23 Gru 2004 07:14 Odpowiedzi: 23    Wyświetleń: 3987
  • Generator 100 Hz o przebiegu trójkątnym w EWB

    Wiesz zrobilem ten drugi uklad znaczy z jego wartosciami elementów i bez tego 3-ego wzmacniacza i byl nawet przebieg trojkatny tylko ze gónra jego czesc nie byla specjalnie szpiczasta , ale na Workbenchu nie bede mial generatora zeby dac prostokatny przebieg a mialbys inny moze generator 100 Hz bez uzycia generatora z wartosciami elementów.....

    Projektowanie Układów   27 Maj 2007 16:49 Odpowiedzi: 8    Wyświetleń: 1576
  • Modulacja - definicja, schemat, parametry, przebiegi

    Miałem dziś sprawdzian o modulacji i nic nie wiedziałem Miałem takie pytaniea: - Podaj definicje modulcji Am i FM - Narysuj schemat ideowy modulatora AM , FM - Podaj i opisz parametry modulacji AM i FM - Narysuj przebiegi na wyjsciu i wyjsciu modulatora AM , FM przy modulacji 50% - Narysuj przebiegi na wejsciu i wyjsciu modulatora FM gdy podawany jest...

    Początkujący Elektronicy   04 Lis 2007 23:50 Odpowiedzi: 25    Wyświetleń: 12407
  • Przebiegi sygnałów elektrycznych

    Tak chodzi o materiały do pracy. Ja wiem , że są ksiązki tylko na ten temat np. Czujnika Andrzeja Gajka tylko,że tam wszystkie przebiegi są wzorcowe, a ja chce żeby były przebiegi rzeczywiste. Przecież każdy kto siedzi w tej dziedzinie wie , że sygnał z czujnika np. Halla nigdy nie jest idealnie prostokatny, a w książkach właśnie taki jest. Proszę również...

    Samochody Szukam   21 Mar 2010 16:40 Odpowiedzi: 10    Wyświetleń: 2248
  • Matlab - problem z przebiegami

    Ok, wszystko jest jasne... prawie jasne ale napotkalem kolejny problem jak mam utworzyc sygnal prostokatny o wartosciach 0,1, potrafie wygenerowac jedynie prostokat symetryczny wzgledem OX :/ , moze jakas podpowiedz?

    Programowanie   14 Mar 2007 08:50 Odpowiedzi: 8    Wyświetleń: 2161
  • Jak zrobić generator dwóch przebiegów prostokatnych

    Witam, Chciałbym zrobić generator dwóch przebiegów prostokatnych TTL przesuniętych w fazie o 90 stopni. Chciałbym użyć takiego rozwiązania jako emulator enkodera inkrementalnego. Proszę o jakieś uwagi. Pozdrawiam

    Projektowanie Układów   08 Paź 2007 18:55 Odpowiedzi: 5    Wyświetleń: 1733
  • Open office i wykres z przebiegiem prostokatnym!

    Witam! Mam takowy problem, iż musze wykonac w openoffice'ie wykres liniowy, przy czym zbocze każdej wartości ma spadac pod kątem prostym. Dokladniej definiujac moj problem, dla przykladu: Mam wartosci od 2 do 15 i wykonac wykres, ktorego kolejne wartosci beda rosly pod katem prostym, a nie na skos tak jak udalo mi sie zrobic dotychczas :/

    Software serwis   30 Wrz 2009 07:02 Odpowiedzi: 3    Wyświetleń: 5184
  • Podłączenie lodówki do 230V o przebiegu prostokatnym

    Witam, z góry przepraszam jeśli to nie ten dział ale no ten najbardziej mi odpowiadał. Mam problem ponieważ mam przetwornicę 12V?>230V i to dość dobrą bo aż 1700W i chwilowy pobór to 3000W. Na wyjściu tej przetwornicy jest napięcie ok. 220VAC a jest zasilana 12VDC z akumulatora. Problem jest taki że przetwornica ma na wyjściu zamiast ładnej czystej...

    Początkujący Naprawy   28 Mar 2020 10:02 Odpowiedzi: 2    Wyświetleń: 471
  • Generator dwoch przebiegow prostokatnych na 8051

    simon71: ke?!? Po co to PLD? Skoro można generować przebiegi na procku to można je tym prockiem tak zrobić, żeby były względem siebie odpowiednio przesunięte... Tu wystarczy tylko uP!!!

    Mikrokontrolery   23 Maj 2003 08:28 Odpowiedzi: 7    Wyświetleń: 1597
  • Jak generowac kilka przebiegow prostokatnych na jednym at32?

    Oj, sprawdź te 24V - "shinano kenshi 400 krokow 24 v 0,6 Amp"-czy to nie 2.4V Są silniki na 24V(i na 12V)- ale bardzo rzadko i kiepskie. Ale nie jestem pewien-sprawdź (google). 24V- to może być zasilanie sterownika. W datasheecie nie ma nic o prądach-raczej o pracy w trybie pełnokrokowym, półkrokowym itp. A3983 -wygląda na dobry sterownik. Decay-czas...

    Mikrokontrolery AVR   01 Kwi 2009 21:01 Odpowiedzi: 50    Wyświetleń: 5229
  • Generacja sygnału akustycznego

    Myslałem, że dogeneracji dzwięku musi być sinusoida. A czy sinusoida i przebieg prostokątny o tej samej czętotliwości dają ten sam dźwięk? zgodnie z teoria, przebieg sinusoidalny, to przebieg fundamentalny i przebieg prostokatny sklada sie z nieskonczonej ilosci zlozonych ze soba przebiegow fundamentalnych. tak sie sklada, ze przebieg prostokatny posiada...

    Mikrokontrolery   20 Mar 2008 20:36 Odpowiedzi: 26    Wyświetleń: 2683
  • Fujitsu Lifebook E8420 - Fan error

    Witam, problem zaczal sie nagle, po powrocie ze stanu uspienia wentylator zaczal krecic sie na maksymalnych obrotach. Po restarcie pojawil sie fan error a wentylator kreci sie bardzo powoli. Nie jest to problem mechaniczny, po podlaczeniu zasilania do wentylatorka rozkreca sie on natychmiast a oscyloskop podlaczony do wyjscia tachometru wentylatora...

    Laptopy Hardware   02 Lip 2013 10:56 Odpowiedzi: 16    Wyświetleń: 2979
  • Generator piłokształtny na LM555 projekt SPICE

    Wykonuje generator przebiegu piłokształtnego na LM555 na czest 5kHz . Jak narazie mam tylko przebieg prostokatny. Jak dobrac parametry elementow aby byl on piłokształtny o danej czestotliwosci. Mam 2 rezystory i 2 kondensatory. pozdrawiam i prosze pytac o szczegoly

    Projektowanie Układów   15 Maj 2005 18:22 Odpowiedzi: 2    Wyświetleń: 1700
  • Problem z przetwornicą na MC34063

    Te rozbierznosci w wartosciach elementow nie maja zadnego wplywu wiec to nie jest problemem. Cos jest nie tak z tranzystorem mosfet lub jego sterowaniem. Musisz sprawdzic czy na bramce mosfeta czyli na pinie 2 scalaka pojawia sie przebieg prostokatny. Jesli nei masz oscyloskopu to podlacz tak chodziaz diode led z rezystorem 1kom. Jesli bedzie przebieg...

    Układy Zasilające   29 Lip 2006 12:22 Odpowiedzi: 8    Wyświetleń: 2433
  • zał/wył jednym sygnałem pomocy

    Faktycznie jest wiele schematow, ktore realizuja taka funkcje, ale robiac je nalezy zdawac sobie sprawe ze zjawisk jakie zachodza w nich. Bez tej wiedzy mozesz jedynie skopiowac inny uklad bez mozliwosci jego modyfikacji. Z grubsza chodzi o to ze przycisniecie przycisku generuje cala serie krotkich impulsow ( odbic ) , ktore nalezy zamienic na pojedynczy...

    Początkujący Elektronicy   06 Lip 2007 13:57 Odpowiedzi: 11    Wyświetleń: 3261
  • Syntezator analogowy polifoniczny

    Witam, widzialme kiedys chyba w RE6/83 taki pomysl, instrument byl 6cio glosowy i zawieral 6 generatorow a sztuka plegala na komutacji stykow pod klawiszami, chyba tam byly zastosowane izostaty z 10cioma stykami. Moge to odszukac. Nie wiem czy nie prosciej bylo by zastosowac mikrokontroler ktory by zapewnial te komutacje a moze i przy okazji generowanie...

    Projektowanie Układów   05 Lip 2009 17:01 Odpowiedzi: 41    Wyświetleń: 10545
  • rozdzielczosc 0.1Hz czestotliwosciomierza

    z tego co ja wiem to sie nieda tego zrobic :-) przeciez to jest nierealne... chyba ze to jest inny przebieg niz prostokatny... ale chyba to mija sie z celem

    Mikrokontrolery   21 Wrz 2003 17:21 Odpowiedzi: 11    Wyświetleń: 4112
  • prosty potrajacz napiecia

    Witam! Poszukuje schematu prostej przetwornicy kondensatorowej, ktora na wyjsciu da napiecie potrojone ze zmienionym znakiem - czyli schematu odwracajacego potrajacza heh :) Albo inny sposob (jak najprostszy) jak z +3V uzyskac -8 :))) Wydajnosc pradowa znikoma - to jest napiecie polaryzujace wyswietlacz LCD. Mam dostepny przebieg prostokatny. Z gory...

    Projektowanie Układów   14 Paź 2003 23:42 Odpowiedzi: 4    Wyświetleń: 1946
  • Elektronika - komputer. Czym objawia się brak sygnału Halla?

    komputer prawdopodobnie zapamietuje podczas rozruchu brak sygnalu. a sprawdzic jest najlepiej oscyloskopem podczas proby rozruchu na pinie sygnalowym. powinien tam byc przebieg prostokatny.

    Samochody Elektryka i elektronika   20 Mar 2006 23:24 Odpowiedzi: 16    Wyświetleń: 1916
  • Wyswietlacz biegow

    Mam cierpliwosc:) Gorzej z czasem:) Pin 15 ukladu 40147 - to jest wejscie 0 czyli jak go przypniesz do masy, to w przypadku pozostalych wejsc podciagnietych do plusa (czyli zaden bieg nie jest wybrany) bedziesz mial na wyswietlaczu 0. Koder jest priorytetowy, czyli jak przywrzesz do masy ktorekolwiek z pozostalych wejsc, to wejscie 0 jako to z nizszym...

    Samochody Archiwum   16 Cze 2009 14:28 Odpowiedzi: 12    Wyświetleń: 8140
  • Żywotność przekaźnika (jak przełączać)

    Na cewke zawsze podaje sie napiecie albo jego brak czyli mozna powiedziec ze przebieg prostokatny. Pzreciez nikt nie bedzie sterowal przekaznika trojkatem czy sinusoida :) Choc sa cewki na napiecie zmienne. Ale problem wypalania stykow lezy po stronie styku a nie cewki.

    Projektowanie Układów   09 Mar 2007 20:49 Odpowiedzi: 3    Wyświetleń: 1698
  • licznik rewersyjny = układ badajacy

    Witam wszystkich!! Mam taki problem. Chce zrobis uklad ktory by sprawdzal dwa sygnaly wejsciowe z geneartora i z czujnika. Oba sygnaly maja przebieg prostokatny. Jezeli sygnal z generatora by przychodzil szybciej niz z czujnika to ma sie zapalic gorna dioda a jak sygnaly z generatora i czujnika przychodza w tym samym czasie to ma sie apalic dolna dioda....

    Elementy Elektroniczne - zamienniki i identyfikacja   29 Mar 2007 17:16 Odpowiedzi: 1    Wyświetleń: 1002
  • Problem Unitra B1

    Witam, z tego co widze to styki moga byc w zlym stanie sporo tam tlenkow i innych oznak utleniania / korozji, prawdopodobnie stal w jakiejs piwnicy czy wilgotnym miejscu. Z tego co widze to jest 6 identycznych plytek z generatorami a na kazdej po "2" generatory. A dokladniej po grupie generatorow. Na tej plytce B/H jak ja oznaczyles masz generatory...

    Audio Serwis   23 Maj 2007 11:58 Odpowiedzi: 6    Wyświetleń: 2456
  • generacja zegara 12.288MHz

    Moim zdaniem beda klopoty z uruchomieniem tego zegara. Jak kiedys wykonywalem praktycznie identyczny, ze schematu w EP, ktory dzialal z kwarcem 1MHz. Jako, ze kwarc 1MHz byl kilka razy drozszy niz 2MHz a ja bylem studentem - zastosowalem ten wlasnie 2MHz i podzielilem potem (juz sygnal logiczny) przez 2. Uklad dzialal ale czesto nie byl stabilny, nie...

    Mikrokontrolery   22 Maj 2008 16:26 Odpowiedzi: 23    Wyświetleń: 2409
  • [ATMEGA128] dziwne działanie

    Wiec programowac sie da przez spi i jtag. Do sprawdzenia czy procesor dziala napisalem prosty program ktory na przemian ustawia i zeruje pin na porcie. Wiec jesli na konkretnym ustawieniu fufow na oscyloskopie da sie zauwarzyc przebieg prostokatny to znaczy ze program dziala, ale jak zmienie fusy na inne ustawienia to nie ma zadnego przebiegu. ustawialem...

    Mikrokontrolery AVR   08 Wrz 2009 15:40 Odpowiedzi: 18    Wyświetleń: 2052
  • Hitachi VT416 - odtwarza tylko 1 sekunde.

    Zapomniałem o jeszcze jednym b.ważnym pomiarze na p.48 IC601 (HSW25Hz) - przebieg prostokatny ok.5Vpp,okres 40 ms. Jeżeli na p.38 nie ma przebiegu CYL.PG to również może nie być HSW25Hz. Prześledź drogę od czujnika TACH SENSOR na płytce s-ka DRUM(p.10 i 11 złączaCN143 ) aż do p.32 i 33 IC601. Jest to wejście symetryczne i wchodząc na nie oscyloskopem...

    DVD/VCR/Kamery/BD Serwis   02 Sie 2002 17:19 Odpowiedzi: 12    Wyświetleń: 2211
  • generator

    Wystarczy innaczej zasilić ten układ, patrz załącznik. Przy analizie tego układu można zauważyć, że jest to układ podobny do kaskody (tyle, że dla niepoznaki tranzystory są różnych typów) eliminującej wpływ efektu Millera w tranzystorze Q1. Składowa zmienna prądu kolektora tranzystora Q1 płynie tylko przez R2 ponieważ napięcie na R1 jest stałe (Ub3-Ube3)....

    Początkujący Elektronicy   08 Maj 2005 20:53 Odpowiedzi: 6    Wyświetleń: 1436
  • przetwornica dc/dc

    Witam wszystkich, widze ze interesuje mnie podobny temat to nie bede tworzyc nowego. W takim razie przejde do rzeczy. Musze zaprojektowac przetwornice napiecia stalego 12V na napiecie stale 24V przy pradzie wy 10A ze stabilizacja nap wy, czestotliwosc pracy 20kHz. Przetwornica ma byc dlawikowa. Niestety nie moge uzyc zadnych scalaczkow w celu np zastapienia...

    Początkujący Elektronicy   07 Cze 2005 13:29 Odpowiedzi: 6    Wyświetleń: 1814
  • jak zrobić układ przetwarzający Uwe na Uwy wg danej funkcji

    Przebieg prostokatny uzyskuje sie przez porownanie napiecia referencyjnego z przebiegiem trojkatnym. Oczywiste jest ze napiecie referencyjne nie bedzie sie zawierac od 0V do jakiejsc wartosci bo przy tak malych napieciach komparatory czy sam przebieg trojkatny nie bedzie prawidlowy. Trzeba tylk owiedziec w jakim zakresie uzyskuje sie pelne sterowanie...

    Projektowanie Układów   16 Maj 2006 12:03 Odpowiedzi: 5    Wyświetleń: 2210
  • Jak podłączyć transoptor?

    Mozecie mi jedno wytlumaczyc::: jak mam ten tranzystor co podaje na niego 1v ze zródła to na diodzie transoptora jakie napiecie bede otrzymywac? bo z symulacji mam 4V (przebieg prostokatny). A z tego co mi sie wydaje to napiecie przewodzenia diody to ok. 1,2V. To ten układ bedzie dzialall? Ponadto na wyjsciu i tak nie mam +5V (przebieg prostokatny)....

    Początkujący Elektronicy   15 Sie 2006 13:07 Odpowiedzi: 23    Wyświetleń: 9915
  • przy głosniejszej muzie głośniki zaczynają strzelac...

    Mozliwe jest że wzmacniacz sie dziwnie przesterowuje, pojawia sie na wyjsciu przebieg o duzej amplitudzie, b. znieksztalcony, przypominajacy przebieg prostokatny (ostre zbocze). Glosnik nie moze sobie z tym poradzic, cewka jakby nie nadąża z tak szybkim narastaniem sygnalu o duzej amplitudzie i "wypada z orbity" uderzajac o nadbiegunnik. Taki przebieg...

    Audio Serwis   16 Mar 2007 09:08 Odpowiedzi: 42    Wyświetleń: 4154
  • Miernik fazy - problem z zaprojektowaniem schematu

    Witam, przejde odrazu do konkretów. Potrzebuje zrobić miernik fazy dwóch sygnałów sinusoidalnych, amplituda tych sygnałów bedzie w granicach 100mV - 10V, czestotliwsoc sygnałów z przedziału 50Hz -20kHz, impedancja wejściowa możliwiec jak największa powyzej 2Mohm. Miernik ma działac na zasadzie tkaiej iz oba sygnały sa na wstepie przetwarzane na sygnał...

    Projektowanie Układów   13 Cze 2008 19:41 Odpowiedzi: 9    Wyświetleń: 2570
  • PCB do przetwornicy MDCS-A

    Na drenie mosfetow wystepuje przebieg prostokatny o napieciu dwa razy wiekszym niz zasilanie. Dajac kondensatory 100nF w praktyce caly ten przebieg laduje na rezystory ktore piekielnie sie grzeja. I wlasnie te 100nF jest bez sensu. Wszystkie firmy stosuja 4.7-10nF zeby tlumic tylko oscylacje na narastajacym zboczu sygnalu prostokatnego a nie bez sensu...

    Artykuły   02 Lut 2010 23:58 Odpowiedzi: 102    Wyświetleń: 23539
  • Stabilizacja napięcia ładowania w telefonie GSM

    odnosnie punktu : 1. kazda bateria a swoje napiecie przebicia ! lecz to nie jedyna zmienna zalezy jak dluzo ładujemy wyzszym U i jaki prad podajemy a 2 V w zapasie po bateria ma własna rezystancje tzw. źródła 2. Jeszcze nie rozbierałem zasilacza od komy bo nie miałem takiej potrzeby ... domyslam sie ze zawielkie filtracji to tam nie ma .. wiec w moze...

    Smartfony Serwis   13 Lis 2005 18:39 Odpowiedzi: 15    Wyświetleń: 4448
  • Opel Omega problem z LPG (lampka CE)

    Witam. U mnie w autku było podobnie i okazało się że gazownik zapomniał ustawićodpowiedni typ emulacji w sterowniku. weJdź na strone producenta emulatora i tam coś powinno być. Wlasnie o to mi chodzi ( takie mialem podejrzenia) , jaki typ emulacji sie ustawia w takiej omedze? Czy sonde podlacza sie na mase czy daje sie przebieg prostokatny( przy przebiegu...

    Samochody Elektryka i elektronika   19 Maj 2005 22:22 Odpowiedzi: 6    Wyświetleń: 1697
  • Help!(!!) POtrzebuje schemat Generatora funkcyjnego ...(...)

    łatwo bedzie zrobic przebieg piłokształtny i prostokatny, z innymi kształtami przebiegów może być problem

    Początkujący Elektronicy   13 Maj 2005 16:46 Odpowiedzi: 2    Wyświetleń: 1173
  • Woltomierz pseudo-AC

    Wydaje mi się że jest to dzielenie włosa na cztery ponieważ sprawca tego wątka oczekiwał prostego sposobu na adaptację miernika pradu stałego na ICL-u ... i wydaje mi sie że optymalnym satysfakcjonujacym dla Niego rozwiazaniem będzie dzielnik jedna dioda i malutki kondziorek .... on (Pytający) nie chce mierzyć przebiegów piłokszałtnych, szpilkowych,...

    Projektowanie Układów   08 Cze 2005 18:32 Odpowiedzi: 20    Wyświetleń: 2783
  • Problem z wyjsciem TTL generatora MAX038

    Zgadza się ,jeśli to jest wejście bramki i masa DGND (pin 15) jest połączona z resztą mas oraz zasilanie wewnętrznego komparatora DV+(pin 16) =5V?.Powinien liczyć. Ale nie robi tego choć przebieg widać na oscyl.-tak? Zakładając że nie ma błędu w pomiarze ,jedyna różnica pomiędzy wej osc. a TTL (w tym przpadku) jaka przychodzi do głowy to różne rez....

    Projektowanie Układów   06 Wrz 2005 15:35 Odpowiedzi: 13    Wyświetleń: 2860
  • PWM modulacja szerokości impulsów- na czym polega...itp

    Witam. Mam do napisania program generujący przebiegi sinusoidalne ta metoda. Jesli ktos moglby mi dostarczyc jakichkolwiek informacji, to bede wdzieczny. Z tego co widze wyzej mozna wygenerowac przebieg prostokatny, ale jak uzyskac sinusoidalny??????

    Początkujący Elektronicy   01 Cze 2008 14:33 Odpowiedzi: 15    Wyświetleń: 34706
  • Pomiar zmian potencjometru przez PC

    bez przetwornika analogowo-cyfrowego to przez lpt i coma odpada. W game-porcie poruszajac potencjometrem zmieniasz czestotliwosc generowanego przebiegu, gdyz karta dzwiekowa posiada juz wewnatrz uklad, generujacy przebieg prostokatny o czestotliwosci zaleznej od parametru R (a na komputerze - polozenie potencjometru - jest okreslone wlasnie na podstawie...

    Początkujący Elektronicy   20 Sty 2006 14:14 Odpowiedzi: 2    Wyświetleń: 759
  • Czym to można zasterować: SERWO TOWER PRO MG995

    Witam... Dwa przewody to zasilanie, ten trzeci to sygnal sterujacy. Podajesz na niego przebieg prostokatny, czestotliwoscia tego przebiegu (wypelnieniem) ustalasz polozenie osi serwa. Wysterowac to mozesz za pomoca uC z wbudowanych przetwornikiem A/D lub zbudowac uklad na generatorze impulsow ne555 (najprosciej) z regulowanym wypelnieniem. W zalaczniku...

    Robotyka Modelarstwo i CNC   19 Wrz 2006 21:21 Odpowiedzi: 3    Wyświetleń: 7791
  • Servo, Bascom, Timer

    WItam :) Zwracam sie do szanownych doświadczonych kolegów o podpowiedź. Bawię się ostatnio mikrokontrolerkiem atmega8 w sterowanie servem (hitec hs-55). Program piszę w Bascomie i bardzo chciałbym załapać jak sensownie uzywać timera i przerwan (nie chcę uzywać bascomowskiego config servo). Po pierwsze... Czy na 8 mhz kwarcu mogę sterowanie sensownie...

    Mikrokontrolery   10 Cze 2010 09:36 Odpowiedzi: 7    Wyświetleń: 5061
  • Miernik fazy, detektor znaku - problem

    Sygnaly zrodlowe sa to dwa przebiegi sinusoidalne o czestotliwosci 1kHz i przesuniete o 90 stopni wzgledem, podawane na uklady zamieniajce je na przebiegi prostokatny TTL ktore sa podawane na wejscie ex-or bramki na schemacie w zalaczniku, gdzie otrzymuje przebieg o wypelnieniu 50% w stosunku do przebiegow wejsciowch ( co nam daje fakt iz sa one przesuniete...

    Projektowanie Układów   04 Wrz 2008 07:36 Odpowiedzi: 9    Wyświetleń: 2156
  • Sterownik silnika DC - Kontrola prędkości obrotowej

    Generujesz przebieg prostokatny nad osią i pod osią. W zależności od wypełnienia uzyskujesz odpowiednie obroty. Przy symetrycznym przebiegu uzyskujesz hamowanie zależne od wypełnienia.

    Robotyka Modelarstwo i CNC   10 Sty 2016 17:05 Odpowiedzi: 7    Wyświetleń: 1386
  • Sinusoida z ATTiny2313 z RLC

    Cześć, Chcę wygenerować przybliżoną sinusoidę za pomocą Attiny 2313 i filtra środkowo przepustowego RLC. Attiny generuje przebieg prostokątny f=146kHz Cewkę o oznaczeniu 2R2 (2.2uH?) i kondensator 0.54uF mam z demontażu. Schemat jest na rysunku. W punkcie A na oscyloskopie widze przebieg prostokatny o czestotliwosci ~146kHz. W punkcie B jest 0V a oczekiwałem...

    Mikrokontrolery Początkujący   14 Maj 2017 14:47 Odpowiedzi: 28    Wyświetleń: 2334
  • Seicento MPI - warunki powstania iskry

    Witam, chcialem zapytac o warunki konieczne aby komputer generowal iskre. Po przekreceniu stacyjki code gasnie, auto nie zapala z powodu braku iskry. Jest mozliwosc wytworzenia iskier z poziomu programu diagnostycznego (test cewek). Czujnik halla generuje przebieg prostokatny 5V. Przebieg jest widoczny na pinach komputera, zreszta wyjscie z halla jest...

    Samochody Elektryka i elektronika   01 Gru 2017 20:57 Odpowiedzi: 13    Wyświetleń: 978
  • Jak zbudować czujkę zbliżeniową IR do samochodu z diodą laserową?

    Witam!\ Robie wlasnie czujke zblizeniowa, ktora chce wykorzystac w samochodzie (czujka cofania/zblizenie) ' Pierwszy pomysł, to zreallizowanie projektu na scalonym nadajniku/odbiorniku ultrasonicznym, np. serii "HC-SR xxxx" Musialem jednak zmienic plany, ponieważ nie posiadam na stanie tego modułu ani nie mam jak tego zaprogramować, więc postanowiłem...

    Optoelektronika   01 Lip 2019 11:31 Odpowiedzi: 2    Wyświetleń: 1014
  • Zasilacz 0-25V sterowany cyfrowo

    Algorytm jest bardzo prosty. Przebieg prostokatny jest podawany na wejsice filtu dolnoprzepustowego drugiego rzedu. Na wyjsciu filtru otrzymujemy napiecie proporcjonalne do wypelnienia. Teraz wystraczy wzmocnic otrzynamy sygnal, podac na koncowke mocy i gotowe. Nie ma tu zadnego wyszukanego algorytmu, prosta zmiana wypelnienia od 0% do 100%. Jedynie...

    Artykuły   31 Mar 2016 13:41 Odpowiedzi: 128    Wyświetleń: 90036
  • Bascom, Magistrala szeregowa i jak to zrobić ??

    no ciezka sprawa z tym, przynajmniej dla mnie :( jesli chodzi o wykresy to mowia one w jaki sposob trzeba wysylac impulsy z pinow procka przykladowo: jeden pin procka to CL (zegar), na ktorym wystepuje przebieg prostokatny drugi pin procka do DI (czyli dane), w momentach zmiany stanu w przebigu zegarowym (CL) z L na H linia danych musi miec odpowiedni...

    Mikrokontrolery   18 Lis 2003 10:10 Odpowiedzi: 6    Wyświetleń: 1971
  • Opel Corsa 1,2 - problemy - dużo pali (11,6 litra na 100 km

    Sprawdz napięcie na sondzie lambda powinno być średnio 0.45V( przebieg prawie prostokatny od 0.2V do 0.8V ok 1 Hz) na nagrzanym silniku.

    Samochody Elektryka i elektronika   15 Maj 2009 10:01 Odpowiedzi: 38    Wyświetleń: 46891
  • Cuc 5361

    Jest tylko przebieg prostokatny zamiast SSC, inne przebiegi na TDA8214 wydaja sie byc poprawne, jakies sugestie???

    TV Serwis   10 Sty 2004 10:09 Odpowiedzi: 4    Wyświetleń: 1533
  • kwarc a dokładność

    Jak nie wlaczales alarmu czyli nie ustawiles bitu alarm enable w rejestrze control/status to na nozce int jest przebieg prostokatny 1Hz. Jest to stan domyslny po wlaczeniu zasilania

    Mikrokontrolery   28 Sty 2004 10:39 Odpowiedzi: 13    Wyświetleń: 1899
  • belinea 107050 X-RAY

    Witam serdecznie! Mam Belinea 107050, spalony rezystor w kolektorze BU2520. Wymienilem rezystor i elektrolit za nim. Po odlaczeniu trafa i podlaczeniu zarowki 60W 220V pomiedzy emiter BU i mase mam na zarowce przebieg prostokatny o wypelnieniu 72%, amplituda ok 180V. Jesli podlacze ja zamiast trafa, to widze ze dolny tranzystor (polowy) przelacza prawidlowo...

    Monitory Serwis   05 Kwi 2004 14:37 Odpowiedzi: 10    Wyświetleń: 1500
  • Epox MVP3C-M i stabilizator Vcore

    mam pytanko o sposob wytwarzania napiecia dla rdzenia CPU w tej plycie. Czy dziala na zasadzie impulsowej ? W ukladzie wytwarzania napiec przy gniazdku socket7 siedzą: dwa N-MOSy STB40NE03L-20 (d2pak), podwojna dioda Schottky STPS10L40 (d2pak). Na zrodle jednego N-MOSa jest 3.4V (obniza z 5V do zasilania I/O CPU), na drugim (zaleznie od ustawienia zworek)...

    Komputery Hardware   02 Mar 2005 08:28 Odpowiedzi: 5    Wyświetleń: 1139
  • d class amplifier

    Jako jeden z nielicznych sam od poczatku do konca zaprojektowalem wzmacniacz w clasie D z latwodostepnych elementow. Pracuje nad tym od ok 3lat i pierwszy moj projekt opieral sie na opampach na wejsciu komparatorze i ukladach cyfrowych. Jednak modulacja PWM jest juz przezytkiem i skonstruowalem specjalny modulator PFM dzieki ktoremu osiagam bez klopotu...

    Projektowanie Układów   04 Lip 2005 21:47 Odpowiedzi: 45    Wyświetleń: 9319
  • Panasonic - brak obrazu

    witam sprawdz nap. na tranzystorze sterujacym powinno być > niz 20v. skoro masz oscyloskop to na kolektorze tegoz tr. powinien byc przebieg prostokatny ampl.ok 20vss. jak jest to szukaj w okolicy ster. tr H

    TV Serwis   30 Wrz 2004 13:52 Odpowiedzi: 5    Wyświetleń: 2135
  • Prądniczka tachometryczna od Poloneza...

    czarny zasilanie 12V,brazowy masa,niebieski wyjście.Przebieg prostokatny o amplitudzie zblizonej do napięcia zasilania.Wyjście typu OC.

    Samochody Szukam   28 Paź 2004 17:52 Odpowiedzi: 2    Wyświetleń: 3142
  • Prędkość obrotowa wentylatora 3-przewodowego (jak do PC)

    na trzecim przewodze jest przebieg prostokatny o f =2*rps bodajze, innymi słowy mówiac są tam dwa impulsy na kazdy jeden obrót wentylatora :). Wystarczy najzwyklejszy dzielnik czestotliwosci i miernik czestotliwości, wynik na nim bedzie iloscioa obrotów na sekunde. Impuls jest AFAIK 0-12V

    Projektowanie Układów   16 Gru 2004 09:57 Odpowiedzi: 2    Wyświetleń: 1437
  • Jak działają przetwornice DC12V na AC230V ?

    Takie najprostsze przetwornice opieraja sie na bardzo prostej zasadzie. Siedzi w niej sobie jakis uklad, ktory generuje przebieg (zazwyczaj prostokatny) o wymaganej czestotliwosc (50Hz). Uklad ten steruje tranzystorami, ktore z kolei steruja transformatorem podwyzszajacym napiecie. Np. zwyklym transformatorem sieciowym 220=>12V, z tym ze wlaczonym do...

    Początkujący Elektronicy   07 Sty 2005 20:32 Odpowiedzi: 1    Wyświetleń: 863
  • MAP sensor Ford - parametry - jakie ?

    Przebieg prostokatny o amplitudzie 2,5V i wypełnieniu 50%. Do pomiaru uzywac obrotomierza do silników czterocylindrowych,bez podciśnienia powinno być wskazanie 4600-4800 obr. Później popatrzę do specyfikacji ile hz,ale o ile pamietam bez podciśnienia 112 hz.

    Samochody Elektryka i elektronika   13 Lis 2005 15:02 Odpowiedzi: 5    Wyświetleń: 4434
  • Monitor Belinea 107035. Nie działa układ odchylania poziomego, brak zasilania.

    Witam, na nodze 3 jest przebieg prostokatny(amplituda ok4V dokladniej jak to istpotne moge powiedzec pozniej bo teraz mam zajete biurko przez inne rzeczy). Pozdrawaim

    Monitory Serwis   12 Mar 2005 10:04 Odpowiedzi: 6    Wyświetleń: 1398
  • Transmisja Radiowa 80cx051 BASCOM

    Moment bo nie rozumiem. Napisałeś wcześniej, że pasmo przenoszenia to 15kHz. Ty wysyłasz dane z prędkością maksymalną 9.6 kHz (w praktyce ta prędkość wychodzi Ci mniejsza). Gdzie tu jest zapychanie ? Sprawdzałeś przy małych odległościach z mniejsza mocą? Sprawdzaleś anteny 1/4? Nadajnik może nie być dopasowany impedancyjnie do anteny 1/2. Ustaw minimalną...

    Mikrokontrolery   05 Cze 2005 12:57 Odpowiedzi: 9    Wyświetleń: 3642
  • Awaria prostego ukladu elektronicznego

    Witam, Dzieki. Sprawdzilem Vdd jest -4,8V. Jesli chodzi o Uwe, to zmienia sie ono (przebieg prawie prostokatny) od 0V-14V. Jeszcze jedno pytanie, wg opisu ktory mam podaja, ze na kolektorze T3 napiecie powinno zmieniac sie z 0V-5V, ale tak nie jest. Poniewaz uklad TL082 jest podlaczony bezposrednio do zasilania (na ktorym moglo wystapic wiecej niz 15V)...

    Inne Serwis   12 Sie 2005 12:24 Odpowiedzi: 10    Wyświetleń: 1491
  • elemis 5870 przesuniety obraz

    na wyjsciu H_FLYBACK jest przebieg prostokatny amplituda ok 10 v wypelnienie ok 1/6 czestotliwosc H rezystor 27k ktorym dociera do procesora jest dobry

    TV Serwis   13 Lis 2005 20:08 Odpowiedzi: 8    Wyświetleń: 1491
  • Sharp 70CS-05S, brak fonii

    Porównuję ten Twój schemat blokowy z rozwiązaniem Sharpa i mam dwie różnice-sygnał analog I/P przychodzi na wejścia nieodwracające /+/ scalaka a na wejściach odwracających nie mam sygnału oscylatora.Czy on na pewno powinien być? Na schemacie ideowym sygnał analogowy jest podany na wejście odwracające (-) p.6 natomiast na schemacie blokowym są umownie...

    TV Serwis   24 Paź 2005 12:37 Odpowiedzi: 7    Wyświetleń: 1437
  • Stroboskop diodowy. Czy da się podpiąć coś zamiast cewki zapłonowej?

    Hehe, no widzisz, najpierw trzeba bylo zrobic rozeznanie, a potem projekt wybrac:) Ewentualnie mozecie zrobic warsztaty na parkingu :) A tak serio -zakladajac ze projekt ukladu jest OK i ze tak jak mi sie wydaje jest podlaczany miedzy cewke a przerywacz , to poradzilbym tak (nie dajac gwarancji ze bedzie wszystko OK, ale sadze ze powinno).: cewka jest...

    Początkujący Serwisanci   10 Sty 2006 14:47 Odpowiedzi: 13    Wyświetleń: 1936
  • Olimpiada Wiedzy Technicznej etap II

    Zadanie 1 - kwestia rozrysowania schematu, przeksztalconko trojakt na gwiazde i mozna wyznaczyc spadki napiec Zadanie2 - prad bedzie mial przebieg prostokatny, a pojemnosci rowne nieskonczonosc powoduja, ze jego wartosc nie bedzie spadac do zera, tylko sie utrzymywac na odpowiednim poziomie, wyjdzie symtryczny wzgledem zera. Zadanie 3 - lustro proadowe,...

    Nauka Szkolnictwo   22 Paź 2011 14:14 Odpowiedzi: 256    Wyświetleń: 38817
  • Sterowanie silnikiem przy uzyciu IR2111 - problem

    przeciez uklad z bootstrapem nie bedzie dzialal statycznie! Musisz puscic na niego przebieg prostokatny kilkanascie khz i zobaczyc oscyloskopem co jest na wyjsciu.

    Projektowanie Układów   14 Lut 2006 18:51 Odpowiedzi: 1    Wyświetleń: 1563
  • cyfrowe sterowanie wypelnieniem sygnalu

    witam mam do zrobienia uklad ktory na wyjsciu bedzie dawal przebieg prostokatny o danej czestotliwosci i roznym wypelnieniu, ma byc kilka wypelnien z gory ustalonych. caly uklad ma byc zbudowany z podstawowych elementow cyfrowych np. bramki, liczniki, uniwibratorow scalonych itp ukadow. za pomoca kilkubitowego adresu ma byc wybierane wypelnienie sygnalu....

    Projektowanie Układów   26 Lut 2006 18:21 Odpowiedzi: 13    Wyświetleń: 4745
  • ATTINY13 jako generator 600kHz (BASCOM)

    Program o który mi chodzi miałby działać tak: mierzę napięcie na jednym z pinów, jeśli jest ono mniejsze niż pewna określona wartość to na innym pinie pojawia się bardzo szybki przebieg prostokatny, powiedzmy 600kHz. Napięcie wzrasta- na wyjściu jest 0. Teoretycznie można by to zrealizować za pomoca przerwania: Maksymalna częstotliwość TINY13 to 9,6Mhz....

    Mikrokontrolery   07 Kwi 2006 21:57 Odpowiedzi: 9    Wyświetleń: 4358
  • Ustawianie Timerow/licznikow w AT89CXXXX jako generator 50Hz

    Widzisz ja zajmuje sie programowaniem w Assamblerze nie w c(BASCOM), moje pytanie bardziej brzmialo jak ustawic rejestry od licznika(np T0) zeby pracowal on jako generator, reszta ukladu(tj ladowanie itp, to juz wiem jak wykonac), otoz generator ten ma miec T=0.02s(czyli 50Hz), ma to byc przebieg prostokatny o wypelnieniu 50%, negacje tegozsygnalu bede...

    Mikrokontrolery   26 Mar 2006 15:41 Odpowiedzi: 12    Wyświetleń: 1869
  • Atmega8 - Jak podłączyć diode IR?

    stabilniejszy jest zewnetrzny kwarc. czy go uzyjesz - up2U. na OC2 generujesz sobie przebieg prostokatny o f=36 kHz. a na Tx wysylasz kody RC5. czyli pinem OC2 kluczujesz transmisje diody tak, aby scalony odbiornik podczerwieni odroznil twoja transmisje od tla ;) pozdro, -- migod

    Mikrokontrolery   31 Mar 2006 09:34 Odpowiedzi: 9    Wyświetleń: 4761
  • modyfikacja generatora fali prostokątnej w programie PSice

    witam mam generator nastepujacych parametrach: napiecie zasialnia 9V z baterii, przebieg prostokatny, wypelnienie 50%, czestotliwosc 30kHz, napiecie wyjsciowe ok 8V wg schematu: mam takie zadanie do wykonania w programie PSice - uzyskac parametry: regulacja napiecia wyjsciowego 0-12V zmiana czestotliwosci 0,1HZ-1 500 000Hz wyswietlanie wartosci czestotliwosci...

    Początkujący Elektronicy   10 Cze 2006 12:06 Odpowiedzi: 1    Wyświetleń: 1347
  • Oscyloskop C1-94. Pytania dotyczące obsługi.

    Skoro oscyloskop posiada wejście 1M ,40 pf na 1:1 czy będzie pasować taka sonda albo jest gdzieś taniej i drugie pytanie : skąd wziąc przebieg prostokatny w C1-94 i skompensować sondę.

    Warsztat elektronika   21 Sie 2006 14:27 Odpowiedzi: 9    Wyświetleń: 4625
  • sterowanie mikrokontrolerem AT89S52

    Ja nie wiem gdzie Ty widzisz diode P1.7, P1.7 to port a do niego nie widze podlaczonej zadnej diody. Z uwagi na to ze jest to pin SCK interfejsu SPI to bedzie tam przebieg prostokatny w trakcie przesylania danych/programowania (podlaczona dioda bedzie mrugala). Co do pozostalych diod podlaczonych do ULN to beda swiecily bo wszystkie linie wejsciowe...

    Mikrokontrolery   02 Wrz 2006 19:20 Odpowiedzi: 22    Wyświetleń: 2709
  • problem z sondą lamdba w passacie 1.8 RP

    Skoro sonda impulsuje to musi byc O.K. Z tym ze to nie jest sinusoida -przebieg jest prostokatny . Chyba ze wchodza Ci jakies zaklucenia lub masz jakis kondensator po drodze . Sprawdz raczej takie rzeczy jak radzi np. mil6

    Samochody Elektryka i elektronika   01 Paź 2006 09:39 Odpowiedzi: 27    Wyświetleń: 2963
  • Przerzutnik Schmitta na bramkach z ukladu 7437

    Zadanie jest nastepujace: Zaprojektowac przerzutnik Schmitta na bramkach z ukladu 7437 ktory z sygnalu jak na rysunku uformuje przebieg prostokatny sterujacy bramka z ukladu 74LS32. Prowadzacy laboratorium podrzucil swiatla mysl, ze wystarczy dobrze podlaczyc NANDy i bedzie gralo ale nie bardzo wiem jak to zrobic. Wykaz dostepnych elementow: Transoptory:...

    Początkujący Elektronicy   22 Lis 2006 19:29 Odpowiedzi: 1    Wyświetleń: 3370
  • wytworzenie wysokich czestotliwości

    to jaki najlepiej tam opornik dac? i co to znaczy ze ma przebieg prostokatny? Podac oczywiscie prad staly, czy zmienny tez moze byc? a odnosnie tego NE555 - prad podac na te nozke z numerkiem 8 i 4 ? no i to chyba tyle co mam do pytania :D Na nózki 8 i 4 musisz podać napięcie stałe dodatnie względem nożki 1 czyli masy. Opornik R1 jakieś 22-47 kΩ...

    Początkujący Elektronicy   30 Lis 2006 15:33 Odpowiedzi: 6    Wyświetleń: 1115
  • kilka pytan i zadan na sterowniki plc

    1. Na czym polega dzialanie sterownika PLC. Pokaz, na przykladowym programie roznice w dzialaniu sterownika Modicon i Simatic. 2. W slowach MW20 i MW22 znajduja sie zmienne A i B. wyliczyc wartosc (a-b)*3 i umiescic ja w slowie MW30. zalozyc ze uzywana jednostka nie ma zaimplementowanej operacji mnozenia, tylko dodawanie i odejmowanie. czy mozna wynik...

    Automatyka Przemysłowa   01 Mar 2007 08:47 Odpowiedzi: 14    Wyświetleń: 3012
  • Przerzutnik monostbaliny problem z symulacja

    Witam! Proszę o pomoc. Mam układ stworzony w PSPICE. Mam przebieg prostokatny na wejściu ale na wyjściu nic się nie dzieje jak być powinno nie wiem dlaczego. Proszę o znalezenie błędu. SCHEMAT: PRZEBIEG: Link do schematu

    Początkujący Elektronicy   19 Lut 2007 09:13 Odpowiedzi: 10    Wyświetleń: 1554
  • Przetwornica 12/230 V 500W

    Witam ja swoja pierwsza przetwornice zrobilem na ukladzie cd4047 i cd 4093 + pare dodatkow jest nie zla trafo jest toroidalny 150VA 12,5A zastosowalem tylko BUZ11 zamiast IRFa powiem tyle ze bylem pod wrazeniem ze na 12V akumulatorze pociagnal mi kompa 300W??? nie wiem jak ale komp dzialal bez problemow. a to byl tylko czysty eksperyment :) i jak badalismy...

    DIY Konstrukcje   20 Wrz 2012 15:05 Odpowiedzi: 100    Wyświetleń: 87466
  • Enkoder - szukam schematu z układem kształtującym sygnał.

    Dzięki za zainteresowanie i za liczne odpowiedzi. Mam jeszcze jeden wątek do tego tematu. Słyszałem że enkodery już z ukształtowanym sygnałem przesunietym w fazie o 90st (A i B) mozna wymontować z drukarki. Czy ktoś z was używał już taki enkoder do czegoś? Jeżeli tak to z jakiej drukarki można go wymontować? Chwalcie się! Ja szczerze mówiąc potrzebowałbym...

    Automatyka Przemysłowa   01 Maj 2007 12:28 Odpowiedzi: 14    Wyświetleń: 3011
  • Czy można zasilić trafo napięciem DC

    Witam.Tak na nr, 3 to wyjscie i nan nim masz miec przebieg prostokatny w stosunku do masy.Pozdrawiam

    Początkujący Serwisanci   30 Kwi 2007 21:04 Odpowiedzi: 23    Wyświetleń: 1883
  • Jak zasumulowac prace czujnika ABS ?

    Witam Potrzebuje zbudowac uklad ,ktory cyklicznie ( kilkaset Hz ) zmniejszalbymi napiecie podawane na czujnik o ok. 0,7 V Czyli inaczej mowiac symulowalby prace tego czujnika .Krecac kolem samochodu moge ustawic napiecie tak , ze jest ono na poziomie 11,5 V Czyli czujnik go nie zmniejsza i w tym momencie chcialbym podpiac uklad ktory zacznie go cyklicznie...

    Projektowanie Układów   28 Maj 2007 12:19 Odpowiedzi: 1    Wyświetleń: 1062
  • falownik ARTDrive G 2075 rzuca kod OHS

    Tak więc na zakończenie tematu w skrócie powiem jak udalo mi się skasowac ten kod. Jednak moje rozumowanie w 2 częsci 1 postu było niepotrzebne a założenie 1 czyli uszkodzony tor pomiaru temperatury mostka, bylo poprawne.. Podczas pierwszych oględzin wszystko wydawało sie w porządku poniewarz w zalezności od rezystancji potencjometru wpietego w miejsce...

    Automatyka Falowniki   01 Lip 2007 22:40 Odpowiedzi: 1    Wyświetleń: 1452
  • regulowany generator na Atmega8 w BASCOM

    Witam. Przeszukalem forum i niestety nie znalazlem nic ciekawego zwiazanego z moim problemem. Wszedzie sa przyklady generatorow ale o stalej czestotliwosci. Jak zrobic aby na jednym wyjsciu mial regulowany przebieg prostokatny od kilkudziesieciu Hz do okolo 1kHz. Ma to sterowac sterownikiem silnika krokowego.

    Mikrokontrolery   05 Lis 2007 14:30 Odpowiedzi: 2    Wyświetleń: 1913
  • jakie napiecie na ne 555 czemu sie grzeje

    witam wszystkich jestem poczatkujacym elektronikiem i zrobilem generatorek impulsow przebieg prostokatny 50% wypelnienia tak mi sie przynajmniej zdaje puszczam do pod czujnik samochodu i leci predkosc i wszystko dziala ogolem zrobilem to aby bylo na 24v wtedy na nozki mojego ne 555 na 4i 8 nozce mam 7v stale i wszystko dziala ale kiedy odpale samochod...

    Początkujący Elektronicy   26 Lis 2007 22:02 Odpowiedzi: 1    Wyświetleń: 1206