symulować zegar

Znaleziono około 189 wyników dla: symulować zegar
  • Oszukiwanie omomierza czyli jak zmniejszyć rezystancje czujnika.

    - czujnik ciśnienia 240-33 ? (0-10 Bar). Nieliniowość widać na pierwszy rzut oka, żeby dobrać jakiś układ trzeba wiedzieć jaka to nieliniowość (jaka jest zależność wskazania od rezystancji a jaka ma być). Możliwe że wystarczy podłaczyć równolegle rezystor, a może źródło prądowe, jak nie to układ symulujący rezystancję na wzmacniaczu operacyjnym (mozna...

    Projektowanie Układów   30 Lip 2015 15:30 Odpowiedzi: 11    Wyświetleń: 5265
  • REKLAMA
  • Szklany zegar LED z ESP8266 i LED SK6812 mini-E

    Gratuluję eksperymentów. :) A gdzie to ja napisałem, że zegarek ma symulować NIXIE? Wręcz przeciwnie, napisałem, że cyt: "W sumie, to wyszedł całkiem nietypowy twór". Już wcześniej miałem napisać skąd się wzięło porównanie niektórych komentujących do wyświetlaczy NIXIE. Generalnie konstrukcja eksperymentalna i tu można wiele zmienić. Po zdjęciu siatek...

    DIY Konstrukcje   30 Sty 2025 18:09 Odpowiedzi: 47    Wyświetleń: 9033
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (2min)...
  • Jak podłączyć WEBASTO Thermo Top C? Laguna II

    To całkiem proste.Pierwszą rzeczą którą robi to webasto to sprawdza szynę danych,jeśli ona jest to można je odpalić np. zegarem,pilotem itd,jeśli nie ma szyny danych no to pierwszą rzeczą którą robi to webasto to wywala błąd komunikacji miedzy innymi na tym zegarze(znak "F").Ten zegar nie jest cudotwórca tylko potrafi sterować webasta po przez w-bus...

    Samochody Klimatyzacje Ogrzewanie   06 Gru 2023 13:46 Odpowiedzi: 1389    Wyświetleń: 514777
  • REKLAMA
  • Prosty stołowy zegar LED (NTP)

    Dodam jeszcze diagnostykę zegarka. Może się przyda w czasie uruchamiania. ESP01, jeśli był używany wcześniej, proponuję przed wgraniem firmware "wyczyścić" programem ESP8266 DOWNLOAD TOOL V3.6.3 Po wgraniu firmware można się z ESP komunikować przez UART. W terminalu dobrze jest włączyć CR LF albo samo LF. Pierwsze uruchomienie: https://obrazki.elektroda.pl/5656655600_...

    DIY Konstrukcje   23 Lut 2025 17:44 Odpowiedzi: 126    Wyświetleń: 21027
  • Re: komputer MIK CA80 - reanimacja zabytkowego komputerka

    Ja jeszcze dodatkowo eksperymentowałem z układem 2kB NVRAM + RTC typu M48T02 STMicroelectronics. Ciekawa kostka, działa jak zwykły 2kB RAM statyczny np: 6116 tylko ma wbudowane podtrzymanie bateryjne, a w kilkunastu ostatnich bajtach posiada programowalny zegar czasu rzeczywistego. Tutaj też można by się pokusić o przerobienie programu monitora, by...

    Elektronika Retro   25 Sty 2025 21:28 Odpowiedzi: 463    Wyświetleń: 124446
  • Arduino wprowadza na rynek 32-bitową płytkę UNO z Wi-Fi, chmurą i matrycą 96 LED

    https://obrazki.elektroda.pl/6362902300_... Arduino na początku tego roku poinformowało o pracach nad nową płytką i ujawniło pewne szczegóły względem 32-bitowej płyty rozwojowej UNO R4. Podano, w jakie peryferia wyposażony będzie moduł; ma on posiadać między innymi matrycę 12×8 diod LED, a także łączność w chmurze dla aplikacji IoT,...

    Newsy   30 Wrz 2023 18:14 Odpowiedzi: 16    Wyświetleń: 1089
  • Wirtualizacja x64 na ARM64 analogicznie do wirtualizacji np. Android na PC?

    Nie bardzo wiedziałem gdzie to wrzucić, więc wstawię tutaj, w razie czego proszę moderację o przeniesienie. Proszę jedynie o potwierdzenie, bądź zanegowanie mojego toku myślenia. Jeśli mając PC na architekturze X64 mogę zainstalować wirtualną maszynę i z powodzeniem symulować procesor o architekturze ARM64, to w drugą stronę będzie działać analogicznie?...

    Komputery Początkujący   11 Lip 2023 20:17 Odpowiedzi: 2    Wyświetleń: 261
  • Czy konstruktorzy audio mają teraz łatwiej? +gratisy do wzięcia

    AVE... Nie masz racji. Głupoty mawiasz. I opierasz się na mitach. Kable wpływają na jitter zegara. Więc mimo identycznych danych cyfrowych, brzmienie będzie inne. JAK?! Kabel to kabel. Ba, w tym przypadku kable S/PDIF są nawet lepsze od zwykłych audio, bo są to zasadniczo współosiowe kable antenowe o impedancji falowej 75 omów. Aby wywołać jakikolwiek...

    Tematy tygodnia   26 Cze 2022 16:01 Odpowiedzi: 64    Wyświetleń: 4737
  • AVR XMEGA - zegar 32 MHz, wewnętrzne DMA i Event System, co sądzicie?

    Tylko jak tu pisac kod równoległy - wiele razy trudniejsze. Czemu ma być trudniejsze? To przecie nie CRAY na którym chcemy symulować ewolucję wszechświata. Można na niego patrzeć jak na jeden procesor + 7 bardzo uniwersalnych układów peryferyjnych.

    Mikrokontrolery   28 Mar 2010 19:59 Odpowiedzi: 80    Wyświetleń: 25578
  • REKLAMA
  • [VHDL] Odmierzanie czasu: licznik vs. instrukcja WAIT FOR XXms w sterowniku sygnalizacji

    Z VHDL jestem raczej początkujący, stąd pytanie. Dlaczego w projekcie, który jest w książce, zastosowano zegar zewnętrzny i licznik, skoro precyzyjnie i łatwiej czas można odmierzyć instrukcją WAIT ? Myśle że to rozwiąze także dalsze pytania, instrukcja WAIT jest niesyntezowalna, czyli można przy pomocy niej symulować układ w komputerze, natomiast...

    Programowalne układy logiczne   29 Sty 2005 16:50 Odpowiedzi: 4    Wyświetleń: 2447
  • sterownik LOGO Siemens czym sie różni od Siemens S200??

    wyświetlacz służy przedewszystkim do programowania. Mylisz się drogi kolego. Wyświetlacz służy przede wszystkim do zmiany parametrów pracy sterownika. Dzieki temu można np. nastawiać żądaną temperaturę, zmieniać parametry czasowe bloków oraz nastawiać terminarze. Zauwazyłem, że sporo osób z założenia lub z nie znajomości uważa LOGO za fujjjj a S7-200...

    Automatyka Przemysłowa   23 Lis 2008 00:04 Odpowiedzi: 26    Wyświetleń: 17933
  • Jak odczytać stabilne położenie enkodera binarnego w VHDL?

    Z każdym dniem wiem coraz więcej :) a również uświadamiam sobie jak wiele jeszcze nie potrafię. :( Dla osób które zaczynają przygodę z VHDL polecam podręcznik: Józef Kalisz - Język VHDL w praktyce. Wracając do tematu: Pytanko do tom_ny - kod jest ok. tylko nie za bardzo wiem jak to za symulować w test bench-u , kombinowałem już cuda ale za każdym razem...

    Programowalne układy logiczne   23 Lis 2008 22:04 Odpowiedzi: 5    Wyświetleń: 2651
  • REKLAMA
  • DIY-A586 v1.9 by piotr_go

    Dziękuję za pomoc i radę. Tymczasowo symulowałem generator zegara na Arduino-nano i podkładka NES działa poprawnie zgodnie z obwodem podkładki SNES. Pozwól mi jeszcze na jedno pytanie. Jak podłączyć joystick do pracy z rdzeniem Spectrum?

    DIY Konstrukcje   18 Wrz 2025 22:54 Odpowiedzi: 355    Wyświetleń: 41583
  • ESP32 - emulacja czujnika rezystancyjnego, analogowego w zegarach samochodowych.

    Czy zamiast czujnika mogę dać NMOSFET i sygnałem PWM nim sterować? Rezystor załączany kluczem przez PWM mógł by zadziałać ale pewnie będzie potrzeba kondensatora żeby usunąć tętnienia na wejściu. W starych samochodach były wskaźniki z dwiema cewkami działało to niezależnie zmian od napięcia w instalacji, taki układ rzeczywiście wymagał żeby symulować...

    Początkujący Elektronicy   23 Mar 2025 18:55 Odpowiedzi: 6    Wyświetleń: 153
  • Realizacja ukladu w programie Electronics Workbench POMOCY!!

    Tak narysowany układ nie podda się symulacji.Piszesz że symulujesz w ElectronicsWorkbench, ale czy tak nazywa się program symulujący,a nie np MultiSim8 albo inaczej?Normalnie wejście zegar - to dołączone źródło VPULSE o zdefiniowanym przebiegu,RESET także,podłączenie LEDów jest problematyczne(gdzie masa i rezystor) pin 2 też musi być podłączony. Podaję...

    Początkujący Elektronicy   05 Mar 2005 17:28 Odpowiedzi: 3    Wyświetleń: 3121
  • Program do symulacji układów z procesorem Atmega - zegar, licznik, wyświetlacz

    program ma tego rodzaju możliwości. Możesz do wirtualnego procesora wczytać program, podłączyć np. wyświetlacze i symulować działanie układu. Niestety wersja testowa nie oferuje o ile pamiętam opcji zapisu projektu.

    Software serwis   09 Lip 2011 07:57 Odpowiedzi: 5    Wyświetleń: 2190
  • Reaktywacja Elwro - polski kalkulator programowalny

    Wracając do meritum, w jakim formacie może zapamiętywać dane ten rejestr szeregowy ? Czy ta informacja cały czas krąży w kółko czy też dane są przesyłane w momencie zapisu lub odczytu cyfry lub polecenia ? Nie mam wystarczających urządzeń by to sprawdzić, ale wszędzie na wejściach zegarowych są stałe częstotliwości, a na wejściach danych cały czas...

    DIY Konstrukcje   11 Mar 2025 16:24 Odpowiedzi: 152    Wyświetleń: 64806
  • Czy FPGA/CPLD mogą wykonać mnożenie 64bit x 64bit w jednym cyklu zegarowym?

    No ok niby prawie wszystko wiesz, a dalej podchodzisz od pupy strony ;) Projektując sobie niejako układ scalony czy to w CPLD czy tam FPGA należy brać pod uwagę całość. Bo co z tego jak część układu po syntezie osiągnie jakąś częstotliwość pracy, jak gdy zaimplementujesz całość już się tego nie osiągnie (tutaj liczba macrocell itp. może być najmniejszym...

    Programowalne układy logiczne   17 Gru 2017 20:07 Odpowiedzi: 28    Wyświetleń: 2856
  • Neonówki do zegara retro: trwałość, pobór prądu, zasilanie.

    ja bym poszukał glow wire albo neon rope (RGB) wtedy można byłoby symulować nawet drobne pełganie - fluktuacje jasności i symulować neon. Neonówki nie są złe ale musisz pamiętać że w ciemności napięcie zapłonu może być wyższe niż w przy świetle. A na neonówkach kiedyś robiono dużo układów więc to akurat nie jest dziwne - dopiero później ograniczono...

    Elektronika Retro   25 Sty 2025 21:06 Odpowiedzi: 25    Wyświetleń: 672
  • Altera DE2 Cyclone II - Zegar na wyświetlacz siedmiosegmentowy, Quartus II

    mam nadzieje, ze wiesz jakiej czestotliwosci zegar jest na plytce, i w jakim jezyku masz to napisac; A. -deklarujesz odpowiednio duzy rejestr i dzielisz wejsciowy zegar tak, by dzielnik dawal impuls co sekunde; - zliczasz sekundowe impulsy licznikiem modulo 60, przejscie miedzy 59 a 0 to impuls minutowy - zliczasz impulsy minutowe licznikiem modulo...

    Programowalne układy logiczne   15 Lut 2014 22:53 Odpowiedzi: 5    Wyświetleń: 3225
  • STM32F103RB - Błąd HAL_BUSY przy komunikacji I2C z sensorem TCS3414CS

    Z tego co zauważyłem to na linii nie pojawia się stałe LOW dodam także iż komunikacja wcześniej była i nagle zaniknęła. W dokumentacji uC zalecaną wartością rezystorów jest 4,7k i próbowałem także z tymi wartościami bez sukcesu, kable są krótkie raczej do płytki stykowej i do czujnika. Nie używam fast mode, standardowo 100kHz. Trzeba zegarem ręcznie...

    Mikrokontrolery ARM   31 Paź 2016 00:52 Odpowiedzi: 27    Wyświetleń: 2112
  • Jak podłączyć urządzenia 12V i 230V w przyczepie campingowej?

    Dioda52 w taki sposób zinterpretowałem twój opis połączeń: http://obrazki.elektroda.net/52_12415533... Najprawdopodobniej zrobiłem to błędnie bo nadal nic mi nie wychodzi, na wyjściu od 1 - 3V Jak narazie robie tylko symulacje w National Instruments Circuit Desing Suite 10.0 moze ten opor 113Ohm na wyjsciu zakloca dzialanie ukladu - opór ten...

    Elektryka Instalacje i Sieci   19 Maj 2009 02:36 Odpowiedzi: 37    Wyświetleń: 50112
  • Zrozumienie odchylenia w typowych ścieżkach zegara i metodach obliczeniowych

    Czy mamy skew dla wspólnej ścieżki zegara? jeśli nie to dlaczego? jeśli tak to jak to obliczyć? Witam i dziękuję za pytanie dotyczące skew zegara we wspólnych ścieżkach zegara! Tak, skew może występować we wspólnej ścieżce zegara. Odchylenie zegara odnosi się do zmian w czasie, w którym sygnał zegara dociera do różnych komponentów w systemie. W idealnym...

    Nauka Elektroniki, Teoria i Laborki   14 Sty 2024 13:05 Odpowiedzi: 1    Wyświetleń: 477
  • Passat 93, nie pokazuje temperatury silnika na zegarku.

    Jest kilka czujników ptzy doborze trzeba zwracać uwagę na oznaczenie , mają też różne kolory pierścienia. O ile pamiętam to w czujniku są dwa termistory które mają po dwa styki. Podczas zmiany temp rezystancja termistorów zmienia się, infio to jest przekazywane do kontrolki z jednego i do wskaźnika z drugiego. Sprawdziłem w moim golfie to przy temp...

    Samochody Elektryka i elektronika   25 Lip 2007 18:27 Odpowiedzi: 2    Wyświetleń: 5167
  • Cyfrowy symulator oświetlenia z zegarem MCY 1201-1206 i pamięcią EPROM

    MC1203/05/06 się nie nadają bo mogą włączyć urządzenie a " nie symulować" włączanie-wyłączania, które z góry musi być przypadkowe lub losowe. W EPROM to można zapisać czasy (raz-na stałe) ale nie można ich potem zmieniać np. czas HH:MM rozpoczęcia symulowania włączania-wyłączania. Tutaj trzeba użyć pamięci EEPROM. Całość można zrobić wykorzystując któryś...

    Projektowanie Układów   15 Paź 2008 20:40 Odpowiedzi: 3    Wyświetleń: 881
  • Dlaczego program na Motorola MC68HC908QT2 działa w symulatorze, a nie w rzeczywistości?

    Witam. Wykonuję aplikację na procesor Motorola MC68HC908QT2 Używam środowisca Code Warrior 6.3 Napisałem program który zasymulowałem i działa on w symulatorze bezbłędnie. niestety po wgraniu do procesora nie. Zastanawiam się że może jest jakiś błąd natury technicznej tj. : 1. W programie używam 2 linii portu jako wejścia i 2 linni portu jako wyjścia....

    Mikrokontrolery Pozostałe   02 Lip 2011 15:26 Odpowiedzi: 10    Wyświetleń: 3365
  • Modyfikacja Amigi CD32 do CDTV32

    Witam. Chciałem się pochwalić swoją Amigą CD32. Miałem dostęp do sprawnej płyty głównej z uszkodzoną obudową, więc zaadaptowałem obudowę odtwarzacza CD. Wykorzystana obudowa to z Sony CDP-270. Ma identyczny laser jak amiga KSS-150 więc można go użyć. Dobudowałem płytkę pośredniczącą na adruino. Steruje ona otwieraniem szuflady, zegarem i symuluje pada...

    DIY Poczekalnia   15 Sty 2023 23:19 Odpowiedzi: 4    Wyświetleń: 9759
  • Jak zasymulować układ na Virtex II przy 100 MHz w ISE?

    jest bardzo dobra, ale symuluje kilkaset ns, nie sekundy; do sprawdzenia poprawnosci algorytmu sluza symulatory RTL; hmm czyli symulujesz np. 50 taktow zegara 100MHz - minus parenascie lock PLLki - to co ten uklad zdazy zrobic?

    Programowalne układy logiczne   22 Lip 2008 09:27 Odpowiedzi: 33    Wyświetleń: 5142
  • VHDL Generator PWM w Quartus II - brak sygnału na wyjściu z bloku PLL

    Quartus nie symuluje pll więc musisz sobie sam wygenerować odpowiedni zegar ;)

    Programowalne układy logiczne   04 Lip 2013 08:56 Odpowiedzi: 4    Wyświetleń: 4431
  • Instalacja Windowsa XP na Androidzie

    Według mnie działa kulawo ponieważ emuluje się procki zupełnie innej architektury. To znaczy, że cały silny procek jest softwareowo symulowany. Dlatego coś, co CPU X86 zajmuje jeden cykl zegara, tutaj przekłada się na dziesiątki cykli.

    DIY Zagranica   09 Paź 2019 10:31 Odpowiedzi: 35    Wyświetleń: 62274
  • Jak Atmel AVR realizuje instrukcje w jednym takcie z opóźnieniami?

    Dlatego, ze rjmp zajmuje tylko jedno slowo, a jmp 2 slowa, wiec procesor potrzebuje dodatkowy cykl na odczyt dodatkowej komorki pamieci. AVR Studio to tylko symulator, nie musi dokladnie odzwierciedlac pewnych niuansow, ktore dla programu nie maja znaczenia. Zauwaz, ze AVR startuje w kilka-kilkaset tys. taktow zegara. Wiec realnie to, ze pierwsza wykonywana...

    Mikrokontrolery AVR   27 Lut 2010 18:55 Odpowiedzi: 28    Wyświetleń: 3272
  • Automatyczny system nagłaśniający z zegarem do punktu informacyjnego

    Nie wiem jak w Twoim odtwarzaczu CD, ale w moim (Fonika CD 0350) jest tak, że jeśli płyta jest w środku, i podasz zasilanie, wchodzi w PLAY automatycznie... Więc tak na prawdę jedynym problemem byłby włącznik sterowany zegarem...Może coś z automatów symulujących obecność domowników? Nie wiem tylko, czy dokładność by Cię zadowalała.

    Estrada Co Kupić   27 Paź 2010 20:43 Odpowiedzi: 4    Wyświetleń: 894
  • Atmega32 - Wart. rejestru licznika przy debugowaniu jest inna niż w rzeczywistoś

    Dlatego, że podczas symulacji Atmel Studio symuluje procesor, w efekcie wie ile wykonał instrukcji i jakich - program jest wykonywany instrukcja po instrukcji, nawet jeśli dajesz mu run. W trybie debugowania sprzętowego pomiędzy pułapkami sprzętowymi może minąć dowolna liczba, dowolnych instrukcji. W efekcie nie ma jak ich policzyć i cycle counter nie...

    Mikrokontrolery AVR   21 Mar 2013 21:52 Odpowiedzi: 7    Wyświetleń: 1800
  • Stacja pogodowa LED z zegarem NTP i kalendarzem

    Znalazłem ten artykuł. To był link z elektrody: [url=https://www.bakke.online/index.php/... Autor zapamiętuje dane w pamięci RTC. W Twoim przypadku wystarczy w EEPROM (symulowanym w ESP) zapisać WiFi.channel();

    DIY Konstrukcje   22 Cze 2021 10:46 Odpowiedzi: 63    Wyświetleń: 16788
  • Galaxy 96' - Prędkościomierz nie działa, testy OK, podejrzenie przerwy w wiązce

    Wypnij wtyczkę od czujnika na skrzyni i za symuluj sygnał, jak wskazówka prędkościomierza ruszy to zegary i okablowanie ok. Sprawdź tez czy na wtyczce od czujnika jest zasilanie.

    Samochody Elektryka i elektronika   15 Cze 2010 08:28 Odpowiedzi: 2    Wyświetleń: 1781
  • FPGA/spartan3s200 - Przyśpieszenie pracy FPGA - kontroler SDRAM

    I mam pytanie jaki ma sens tego że data_out ma 32bity skoro dane trafiające do data_out są zdublowane ponieważ captured_data_last i captured_data to to samo, nic to nie wnosi, VHDL nie działa tak jak C czy inny język programowania. Przypisania w procesie synchronicznym dzieją się równocześnie, a nie sekwencyjnie. To znaczy, że captured_data_last i...

    Programowalne układy logiczne   27 Sty 2015 17:21 Odpowiedzi: 18    Wyświetleń: 3006
  • Saunier Duval KLZ30 - jak ręcznie włączyć C.U.W. z innego piętra?

    Witam. u mnie parametr U odpowiada za współczynnik obniżenia temperatury pieca w nocy Tak, dokładnie za to odpowiada ten parametr, i jest sterowany tym zegarem, dlatego też ustawienie na "u1", powoduje, że zegar ten przestaje wpływać na ogrzewanie C.O. Dorwałem też starą instrukcję do tego pieca - nie ma tam nic wspomnianego o sterowaniu CWU za pomocą...

    Systemy Grzewcze Użytkowy   16 Lut 2018 12:48 Odpowiedzi: 14    Wyświetleń: 2580
  • [Praca] Projektant Altium - stała współpraca sch/pcb zaawansowanego audio

    Witaj ja pracuje w MYTEK od lutego i jeśli chodzi o cześć analogową to najczęściej wskazówki lub ręczne schematy dostaję od właściciela firmy. Podobnie jest ze scalakami, wzmacniaczami operacyjnymi, kondensatorem, potencjometrami itp. Wybrane są konkretne, sprawdzone modele i rozwiązania. Wskazana jest znajomość LTSpice, żeby czasem coś symulować, umiejętność...

    Projektowanie Bazar   14 Sie 2021 09:46 Odpowiedzi: 3    Wyświetleń: 873
  • BMW e60 - Co to za urządzenie znalezione pod nagrzewnicą? GPS?

    Zapewne był to jakiś emulator np. poduszek powietrznych lub innego systemu. Miał on na celu symulowanie prawidłowej pracy tego systemu aby auto nie wyrzucało błędów na zegarach. Pytanie tylko co zaczęło lub zacznie się świecić po wycięciu tego układu?

    Samochody Początkujący   23 Paź 2019 20:27 Odpowiedzi: 30    Wyświetleń: 2769
  • Szukam kompilatora C z edytorem dla procesorów ATMega

    jak mam pisać w tym całym avrside i symulowac w avrstudio to juz wole od razu pisać w asm bo przynajmniej kod bedzie czytelniejszy i bede wiedział gdzie w procedurce mam błąd. Szanowny kolega próbował dłuzej niz minut kilka w najnowszym AVRStudio symulacji z plików .elf, że tak narzeka ? A jak nie pasuje analiza kodu C to można odpalić okno disasemblacji......

    Mikrokontrolery   01 Sie 2005 21:21 Odpowiedzi: 21    Wyświetleń: 5565
  • Biblioteka FATfs i problem z implementacja na ATmega16

    W oryginale (atmega64/128) jest wystarczająco dużo RAM aby to zaskoczyło w Atmega32 nie ma tyle pamięci. Ehh, brak mi słów, dlaczego ludzie nie czytacie opisów jakie autor zamieścił na swojej stronie oraz opisów w samej bibliotece. Dlaczego mnie się udało (i to bez ŻADNYCH WIĘKSZYCH przeróbek) zaimplementować bibliotekę (zapis/odczyt) na Atmedze32...

    Mikrokontrolery AVR   07 Cze 2010 13:03 Odpowiedzi: 34    Wyświetleń: 12079
  • Układ 74LS173AN nie wysyła sygnałów na wyjścia Q1-Q4 - brak sygnału zegara?

    Cześć, Próbuję zrobić rejestr do jednego projektu i chcę wykorzystać układ 74LS173AN. Podłączyłem, żeby go przetestować, ale nijak nie potrafię go skłonić do wysłania czegokolwiek na wyjścia Q1-Q4. Załączam schemat połączeń oraz zdjęcie zmontowanego układu na płytce stykowej. Wyobrażam sobie, że problemem może być fakt, że nie mam sygnału zegara. Symuluję...

    Początkujący Elektronicy   03 Mar 2017 22:24 Odpowiedzi: 6    Wyświetleń: 768
  • Rejestr 4-bitowy w PSpice - problem z symulacją i ustawieniem zegara

    Witam. To zadanie mam i symuluje w PSpice. Rejestr 4bitowy, przesuwajacy z załacznika nie działa:-( W czym rzecz? Zegar mam ustawiony tak że w pierwszej mikrosekundzie jest: 1 w drugiej: 0 i w kolejnej(ych): 1 Pozdrawiam

    Początkujący Elektronicy   06 Sty 2006 13:03 Odpowiedzi: 2    Wyświetleń: 1584
  • Citroen C4 Picasso - Wygenerowanie sygnału MUTE w sieci CAN

    Np informacje odnośnie wyświetlania różnych rzeczy na wyświetlaczu zegarów ComfortLine. Gdy posiadałem fabryczne radio mogłem podejrzeć ramki odnośnie RDS na zegarach. Więc mogłem wyświetlać swoje komunikaty symulując radio. Jednak jest to mocno ograniczone i trochę pomotane. Moje radio nie miało opcji MP3, więc ramek ID3taga nie obejrzę. Myślę że sensowniej...

    Samochody Elektryka i elektronika   03 Lip 2019 18:45 Odpowiedzi: 25    Wyświetleń: 5931
  • Kurs przewijania silników - od teorii do praktyki, metody nawijania, przeliczania

    No właśnie nie dlatego, że ok powiedzmy mam silnik trójfazowy, najprostszy klatkowy i rozumiem, że muszę mieć zawsze biegunów razy 3? Bo trzy fazy? A dlaczego są jednowarstwowe a dwuwarstwowe? Dlaczego nawija w tym miejscu a nie innym, takie praktyczne zdania które zostaną w pamięci. A gdzie silnik jednofazowy, gdzie są różne uzwojenia pomocnicze,...

    Początkujący Naprawy   09 Lut 2025 18:02 Odpowiedzi: 22    Wyświetleń: 11046
  • Vivado - Jak ocenić możliwość symulacji FPGA w projekcie przetwarzania sygnałów?

    Moze byc tak, ze jesli korzystasz z jakichs nietypowych makr I chodzi mi o to, jak mam sprawdzić, czy jest taka sytuacja, bez wielu dni pracy nad przygotowaniem symulacji. To jest pytanie w stylu: jak sprawdzic czy program bedzie sie wykonywal i dzialal poprawnie bez jego kompilacji. Nieprawidłowości w zależnościach czasowych sygnałów są sygnalizowane...

    Programowanie   07 Sie 2024 19:53 Odpowiedzi: 8    Wyświetleń: 231
  • [VHDL] VHDL Xilinx – generowanie obrazu 80x40 na monitorze VGA, skalowanie do pełnego ekranu

    Już prawie sobie poradziłem. Mam inny problem. Symuluje sobie ten układ i w ModelSimi 6.4B nic się nie dzieje jedynie działa clk 50MHz zegar 25MHz zaś żadne inne sygnały oraz zmienne się nie zmieniają ktoś miał może podobny problem?

    Programowalne układy logiczne   05 Cze 2010 18:50 Odpowiedzi: 5    Wyświetleń: 2303
  • Ciekawy zegar EC1515B - DIY Kit Made in China - Test / Recenzja.

    Co do tego -2- to chyba dzień tygodnia, to by było logiczne. Dzisiaj mamy Wtorek, czyli drugi dzień tygodnia. Układy RTC często mają funkcję kalendarza zwracającą dzień tygodnia, tak samo większość zegarów/budzików z funkcją pokazywania daty ma wskazywanie dnia tygodnia. A jak na księżyc to tylko z [url=http://nassp.sourceforge.net/wiki/M... ]Project...

    Artykuły   04 Kwi 2019 21:13 Odpowiedzi: 37    Wyświetleń: 10005
  • Jak zaprojektować układ do sterowania 64 LED przez RS232?

    74HCT595/74LS595, albo CD4094, 8 sztuk połączonych szeregowo - wpisujesz kolejno 64 bity, a potem dajesz sygnał, który je przepisuje do wyjść. Jest gdzieś na Elektrodzie schemat, jak to sterować jednym wyjściem portu szeregowego, na zasadzie, że zegar wpisywania jest opóźnionym bitem start i trafia w dane (czyli 00 wpisze 0, ff wpisze 1), a sygnał przepisania...

    Projektowanie Co i Gdzie Kupić ?   07 Mar 2017 23:18 Odpowiedzi: 8    Wyświetleń: 2238
  • Spawarka Parkside PMSG 200 A2 - naprawa, upgrade

    I co on da? Przecież on się zamieni w meteoryt zanim spawarka go w ogóle zauważy. Warystor jest tylko elementem zabezpieczającym przed ewentualnymi przepięciami i nie ma wpływu na normalną pracę spawarki tak samo jak ogranicznik przepięć w instalacji elektrycznej. (at)chemik16 Ostatnio mało która spawarka ma dławik na wyjściu, np. POWER UP 140 czy...

    DIY Warsztat   18 Maj 2024 16:43 Odpowiedzi: 45    Wyświetleń: 8445
  • Astra 1.6 1996 - dławienie na benzynie, brak błędów, wymienione świece i przewody

    No nie wiadomo jaki to silnik, ale stawiałbym na ciśnienie paliwa (pompa ewentualnie filtr) ale do tego trzeba mieć specjalny zegar. Co do sondy Lambda, to sądzę że autor jako elektronik wie jak ją sprawdzić czy nawet symulować. Jedno wiadomo,że wymieniono bez pomiarów na "ślepo" i świece i przewody zapłonowe a być może to był zbędny wydatek i stówka...

    Samochody Elektryka i elektronika   04 Sie 2006 09:57 Odpowiedzi: 6    Wyświetleń: 2067
  • VOLVO 850 2,5 20V 95r Brak iskry - tylko dla znawców.

    Oki, piny podaję z pamieci, mogłem pomylić jednostki. Co do diagnostyki ICM w sprawnym aucie też nie daje się zczytać kodów błyskowych... Skrzynia manualna. Zegary reagują na czujnik, ECM na pewno żyje, po zapodaniu symulowanych impulsów z ICM steruje wtryskami, załącza pompę paliwa, ICM jako taki w 100% sprawny, podłożony do innego auta(oczywiście...

    Samochody Elektryka i elektronika   26 Lis 2010 15:47 Odpowiedzi: 54    Wyświetleń: 21560
  • Elektroniczna świeczka z wyświetlaczem macierzowym

    Jeśli chodzi o prędkość, to przy klasycznym Arduino, pracującym z zegarem 8 MHz, możemy osiągnąć około 12 klatek na sekundę. No to trzeba przyznać, że cały układ jest dalece nieefektywny i wymaga poważnych optymalizacji. Ten "ekran" ma w sumie 160 pikseli ( 16x10 ). Wykorzystując 8-bitowe CPU i niecałe 2MHZ tworzyło się całe demka rysujące "cuda" na...

    DIY Zagranica   06 Sty 2019 10:01 Odpowiedzi: 23    Wyświetleń: 5109
  • [Verilog][Modelsim] - Nie inicjalizuje zmiennych i nie propaguje sygnału

    Witam Uczę się Veriloga, środowisko Altera Quartus II 13.1 wraz z Modelsim-Altera 10.1, mam problem z symulacją. Próbuję napisać generator sygnału VGA. Na początku mam dany sygnał 50MHz i chcę go dzielić na dwa otrzymując 25MHz: (fragment kodu z laboratoriów mojego wykładowcy) http://obrazki.elektroda.pl/3648728400_1... Obszedłem problem...

    Programowalne układy logiczne   07 Kwi 2014 11:58 Odpowiedzi: 3    Wyświetleń: 1917
  • Tłumaczenie kodów usterek Webasto i Eber na język polski

    Oprócz zasilania na zaciskach 1 i 2, powinno się pojawiać +12V na zaciskach 6 i 7. Moduł rozbudowujący jaki posiadasz symuluje te sygnały w zależności od tego jak dogrzewacz ma pracować. Jeśli włączasz zapłon i uruchamiasz silnik to napięcia na 6 i 7 masz z czujnika temperatury na podszybiu i z alternatora. Przy załączeniu zegarem (bez zapłonu), elektronika...

    Samochody Klimatyzacje Ogrzewanie   25 Sty 2024 08:23 Odpowiedzi: 529    Wyświetleń: 371376
  • Ekonomia rynku układów FPGA a technologia firmy Efinix

    Nie chodzi tu o to aby FPGA wszystko załatwiło, a zarządzało podziałami zasobów. Coś na styl CROSS.. Rdzeń procesora skonstruowany w taki sposób iż może pełnić proporcjonalną funkcje pomiędzy FPGA, a CPU w zależności od potrzeb systemowych... Jest to nierealne z tego powodu, że układy FPGA są znacznie wolniejsze i bardziej prądożerne niż ASIC. To samo...

    Artykuły   10 Sty 2022 21:17 Odpowiedzi: 15    Wyświetleń: 1635
  • Sztuczne obciążenie na 2x2N3055

    A nie wystarczy po prostu mierzyć napięć na emiterach tranzystorow wejsciowych wzgledem masy? Ewentualnie mozesz tez zbudowac przystawkę na op-ampie zeby te napięcia zsumować i wzmocnic na tyle, zeby oscyloskop wypluł sensowny wykres... Dodano po 11 ale i charakterystykami pracy To juz nie takie proste. Programowalna nieliniowa charakterystyka I/U (i...

    DIY Konstrukcje   28 Cze 2022 11:33 Odpowiedzi: 34    Wyświetleń: 19407
  • [AT91SAM7S256] Jak ustawić PIT w AT91SAM7S256, by uVision3 poprawnie mierzył 1ms?

    w uVision3 otrzymuję informację, że odmierzono 0,00184296s czyli prawie podwójny czas Ale podczas debugowania czy symulowania? Ja mam podobny problem ale z LPC Philipsa. Poprostu nie zwracam uwagi na to co mi Keil przelicza w sekundach. Wiedząc jaki zegar i jak są ustawione dzielniki łatwo obliczyć te rzeczywiste 1ms. Widocznie Keil nie uwzględnia...

    Mikrokontrolery   09 Wrz 2007 22:09 Odpowiedzi: 2    Wyświetleń: 834
  • Sterowniki PLC - jaki program do prowadzenia symulacji

    Jeśli chodzi o symulator, to oprogramowanie LOGO!soft Siemens'a ma naprawdę bardzo fajny, jednak nie jest ono darmowe. Rozwiązaniem jest odpowiednik xLogic, a mianowicie: http://www.xlogic-plc.com/xLogicsoft-Set... Tworzysz program blokowy, po czym klikasz symuluj. Można ustawić dowolne parametry symulacji, wartości analogowe i bitowe sygnałów (mono-...

    Automatyka Przemysłowa   06 Lip 2012 19:57 Odpowiedzi: 7    Wyświetleń: 3629
  • ALTERA Cyclone III - Karta graficzna - nakładanie się danych do zapisu z danymi

    jaką sugerujesz zmianę /.../ jesli chodzi o sam automat, ktory 'przelacza' odczyt i zapis, to zrobilbym to jakos tak: [syntax=verilog] module sram_read_write #( parameter ADR_W = 9, DAT_W = 8 ) ( input clk, input rst_n, input mcu_wr, input [ADR_W-1:0] mcu_adr, input [DAT_W-1:0] mcu_data, output reg [ADR_W-1:0] sram_adr, inout [DAT_W-1:0] sram_data,...

    Programowalne układy logiczne   16 Lis 2013 19:41 Odpowiedzi: 7    Wyświetleń: 3051
  • Podłączenie zegarów BMW VDO 110.008.735/112 - wyprowadzenia pinów?

    witam poda mi ktos wyprowadzenia pinow. zasilanie, masa, i diagnoza tak aby symulowac wlaczenie zaplonu. ?? zegary VDO 110.008.735/112 BMW 62.11-6 907 018 siedzi w nich eeprom 93s56 dodam ze sa tam 2 gniazda 26 pinowe, czarne i biale pozdrawiam

    Samochody Szukam   06 Paź 2006 16:55 Odpowiedzi: 0    Wyświetleń: 1178
  • Zasilacz z aktywnym PFC i podłączenie do UPS z sinusoidą symulowaną

    1. Przygasanie (też świateł) czy znikanie treści z monitora? w pokoju z komputerem światła nie gasną, router się nie wyłącza. W innych pokojach też nie zauważyłem aby zegarki na prąd , czy też zegar na kuchence się wyłączały (resetowały) bo zawsze po wyłączeniu prądu miałem zegary pokazujące godzinę 0:00 . Tylko treść z monitora znika. O czym to świadczy?...

    Komputery Hardware   20 Mar 2023 18:09 Odpowiedzi: 11    Wyświetleń: 807
  • Proel 255 - Zasada działania układu z 40103 i 4093 w unifonie cyfrowym

    Witajcie, Zamierzam wykorzystać mój PC255 do "radiowego" otwierania drzwi wejściowych do mojego, wielolokalowego budynku. To znaczy, że zamiast wklepywać kod albo przykładać "pastylkę Dallas" wolę nacisnąć w kieszeni przycisk pilota, który na kilka sekund przełączy przekaźnik odbiornika w moim mieszkaniu. Działanie to sprawdziłem - odległość 10 pięter,...

    Domofony i kontrola dostępu   27 Cze 2015 13:30 Odpowiedzi: 12    Wyświetleń: 5174
  • Budzik z lampą symulującą wschód słońca

    Witam, Pomysl troche stary, od wielu lat tego typu lampki z zegarem sa dostepne w sklepach i to nawet po przystepnych cenach. Zgadzam sie procesor to troche przerost formy nad trescia ale wykonywal to na zajecia wiec moze taki byl wymog prowadzacego - nie czepiajmy sie. Pozdrawiam

    DIY Zagranica   07 Maj 2011 08:04 Odpowiedzi: 4    Wyświetleń: 7581
  • Virtual machine for 8-bit systems.

    Od jakiegoś czasu rozwijam pewien system, który roboczo nazwałem Wirtualna Maszyna dla systemów 8-bitowych. Nazwa wydaje mi się, przynajmniej na razie, dobrze oddawać to, do czego ma służyć. ;) https://obrazki.elektroda.pl/1983682700_... Projekt oparty jest o STM32H750, choć w zasadzie można go przeportować niemal na każdy Cortex-ARM,...

    DIY w budowie   05 Lis 2024 00:24 Odpowiedzi: 5    Wyświetleń: 2340
  • Jak zbudować układ z licznikami 7490, 7492, 7493 i 74160?

    Faktycznie, bo przecież przy stanie 25 następuje reset licznika, a więc przepuszczamy zegar tylko gdy Q4Q2 = 11. Co to znaczy rozpisać stany 0..31 i sprytnie im się przyjrzeć... :D Tak więc Sposobb rysuj i symuluj... :) http://obrazki.elektroda.net/24_11656813...

    Początkujący Elektronicy   31 Maj 2009 19:32 Odpowiedzi: 21    Wyświetleń: 5975
  • WEP PS-305D - Uszkodzone zabezpieczenie przeciwzwarciowe

    Witam Mam problem z zasilaczem laboratoryjnym WEP PS-305D. Opiszę problem: Włączam zasilacz, i ustawiam na wyświetlaczu np. 19V, ograniczenie natężenia np. w połowie. Na wyjściu symuluję zwarcie, zwierając zaciski przewodów ze sobą i w tym właśnie momencie napięcie wskakuje na 50V. 50V utrzymuje się cały czas, mimo rozwarcia zacisków na przewodach wyjściowych...

    Zasilacze, ładowarki   02 Lut 2024 21:47 Odpowiedzi: 1    Wyświetleń: 2163
  • Jak usunąć żarówkę z KIA Ceed, aby magistrala CAN nie wyświetlała błędu?

    Cześć wszystkim, na wstępie chciałbym powiedzieć, że jestem nowu na forum i mogę się mylić odnosnie umiejscowienia tego postu na forum. Mam pewien problem, którego nie do końca wiem jak rozwiązać, otóż chciałbym usunąć żarówkę w samochodzie oszukując przy tym magistrale CAN, tak aby na zegarach nie pokazywał się błąd żarówki. Samochód to KIA Ceed 2017r....

    Samochody Początkujący   23 Cze 2019 11:52 Odpowiedzi: 9    Wyświetleń: 960
  • Jak ustawić sygnał count_end na jeden cykl zegara w liczniku VHDL?

    dziękuje działa Dodano po 14 działa dziękuje ok teraz mam pytanie chce skorzystać z gotowego IP Core dzielenia i go generuję i działa w płycie a w symulacji ISE zgłasza mi ERROR:Simulator:170 - work/dzielenie/dzielenie_a is not compiled properly. Please recompile work/dzielenie/dzielenie_a in file "D:/Bibloteki/BinToBCD/ulepszan...

    Programowalne układy logiczne   15 Paź 2009 17:47 Odpowiedzi: 20    Wyświetleń: 2025
  • Arduino - Konflikt IRremote z taktowaniem zegara przy symulacji pilota 38kHz

    Cześć. Mam spory problem z moim Arduino. Napisałem prosty kod, który umożliwia symulowanie pilota (38kHz). Wszystko byłoby fajnie gdyby nie fakt, że chcę jednocześnie odbierać sygnał z innego pilota. Do obioru i dekodowania sygnału używam biblioteki <IRremote.h> ale niestety po jej zastosowaniu Arduino przestaje "pilnować" czasu, tak, jakby takty...

    Mikrokontrolery Początkujący   27 Kwi 2012 21:55 Odpowiedzi: 2    Wyświetleń: 1670
  • Jak aktywować drugi kanał ISDN na karcie KXTADA?

    Przepraszam z góry za taki początek odpowiedzi ale proponuje udać się najpierw na szkolenie, a potem zabierać za instalowanie central Panasonica. Będzie mniej problemów. Informacja o wyborze zegara dotyczy karty na slocie, nie portu. Tak więc 2:BRI2 czytamy slot drugi, karta 2 portów BRI. Nie mamy wyboru portu. Slot 1 to karta DHLC4. Co do samego działania...

    Telefony Stacjonarne Serwis   14 Sie 2011 13:15 Odpowiedzi: 2    Wyświetleń: 915
  • Jak wykonać operację a <= a + b w procesie synchronicznym VHDL?

    Już załączam kawałek kodziku: Jeśli mógłbyś jeszcze załączyć kod przed modyfikacjami (ten, który się symuluje ale nie działa) i wyjaśnić skąd pochodzą sygnały wejściowe (czy to jest top-level?) i w jaki sposób objawia się niedziałanie układu... TWl PS. czy wejścia tego modułu są napewno synchroniczne z zegarem?

    Programowalne układy logiczne   02 Mar 2011 12:16 Odpowiedzi: 16    Wyświetleń: 2328
  • KME Diego G3 - Czy można użyć zwykłego interfejsu OBD do korekt w Audi A6 1.8T?

    No to bardzo dobry wynik. Ja bym nie ruszał ciśnienia jeśli wszystko działa poprawnie. Dobry wybór padł na pojedyncze wtryski. Jak np kupisz sobie LC-1 czy coś takiego lub od AC zestaw AFR to on potrafi symulować sondę wąskopasmową na podstawie odczytu AFR i możesz sobie taki set wstawić na stałe pod maskę zamiast sondy waskopasmowej. Możesz też wspawać...

    Samochody Instalacje Gazowe   11 Paź 2017 22:18 Odpowiedzi: 9    Wyświetleń: 2601
  • Jak zbudować stację meteo z µC, RS, LCD i czujnikami? Szukam wykonawcy!

    Witam Szukam osoby potrafiącej zbudować stację meteo. Pomiary: Kierunek i prędkość wiatru, ciśnienie, temperatura*2,nasłonecznienie, punkt rosy(z wyliczeń) Czarna skrzynka z czujnikami wyprowadzonymi W skrzynce ma być µC port RS do podłączenia z komputerem oraz wyświetlacz LCD. Brak ustaleń co do języka programowania (pełna dowolność – ale...

    Projektowanie Układów   27 Paź 2008 10:42 Odpowiedzi: 2    Wyświetleń: 2481
  • Jak rozkodować informacje z magistrali VAN w Peugeot/Citroen?

    Pierwsze od czego powinieneś zacząć to zbudowanie sobie odpowiedniego analizatora, który będzie sprawdzał poprawność odbieranych informacji i dekodował to co dostaje. Dopóki nie nauczysz się odbierać i dekodować ramek o wysyłaniu możesz zapomnieć . Jeśli chcesz z bascomem dobrnąć do jakiegoś celu musisz się skupić na słuchaniu tego co się dzieje na...

    Mikrokontrolery AVR   20 Lis 2016 18:19 Odpowiedzi: 60    Wyświetleń: 7770
  • [VHDL] Jak przesunąć sygnał a0 o 1 bit w lewo w automacie 2-procesowym?

    Symulujesz czy syntezujesz? Czym? W rzeczywistości powinieneś mieć 3 procesy: 1) rejest stanu automatu 2) funkcja przejść i wyjść automatu 3) rejestr przesuwny z wejściem enable sterowanym wyjściem automatu. a0 jest elementem sekwencyjnym, więc zmiany jego wartości powinny być taktowane zegarem. Pozdrawiam, Dr.Vee

    Programowalne układy logiczne   03 Maj 2010 23:59 Odpowiedzi: 2    Wyświetleń: 1145
  • Budowa anteny nadawczej 60 kHz z kabla audio podłączanej przez mini jack

    (at)alojzikftp Zanim cokolwiek zaczniesz ,,urywac" sprawdź sobie częstotliwości tych sygnałów czasu w różnych częściach świata. W Europie jest DCF ( 77,7 kHz) i MSF w Anglii (60 kHz), w USA używają w tym celu nadajnika systemu nawigacyjnego Loran, WWVB (60 kHz), a projekt, z linka dostosowany jest do JJY (60kHz). 3 harmoniczna z 20kHz. Wyższej klasy...

    Radiotechnika Serwis   28 Lis 2017 00:26 Odpowiedzi: 5    Wyświetleń: 1035
  • Szukam schematu progrmatora z zewn. zasilaniem do PIC16F628

    Tam nie ma żadnej diody od zegara. Są tylko diody od załączenia napięć Vcc i Vpp. Na ile jesteś obyty z elektroniką? Potrafisz na podstawie schematu sprawdzić, czy Twój programator działa poprawnie? Oczywiście, chodzi mi tu o symulowanie stanów na wejściach bez podpinania go do portu LPT komputera. Jeśli masz woltomierz i potrafisz to zrobić to napisz...

    Mikrokontrolery   25 Lut 2006 03:17 Odpowiedzi: 12    Wyświetleń: 3880
  • UART do SRAM na S3board Digilent - kłopoty z zapisem danych i adresowaniem

    Napisałem sobie jakiś czas temu interfejs rs232 (nawet działał), a także moduł obsługujący pamięć na płytce S3board formy Digilent (też działał). Od ostatnich kilku dni próbuję je bezskutecznie połączyć. Próbowałem wyprodukować coś, co będzie odczytywac dane z RS-a, a następnie zapisywać do pamięci z postinkrementacją adresu. Niestety, moje wysiłki...

    Programowalne układy logiczne   19 Sie 2006 15:55 Odpowiedzi: 4    Wyświetleń: 1743
  • Z80 – stronnicowanie pamięci i pomiar czasu, doświadczenia z programowaniem

    Sygnał zegara systemowego, w niektórych (nowszych) prockach (np 8051, avr, pic) może zawierać się w zakresie od 0 Hz (i to jest statyczny zegar) do maksymalnej częstotliwości np. 12MHz. Aby przeprowadzić próby pracy krokowej potrzebujesz podawać zegar ręcznie, np. z przycisku. A więc musi mieć możliwość pracy przy częstotliwości 0 Hz. Pamiętam, że były...

    Mikrokontrolery   05 Lut 2009 11:01 Odpowiedzi: 32    Wyświetleń: 6381
  • Czujnik krótkiego zaniku napięcia sieci

    Osobiście nie lubię mikroprocesorów itp. dla mnie jest to czarna magia. No właśnie dlatego nie lubisz bo ich nie rozumiesz, też mnie to wkurzało jakieś 30 lat temu jak w EP czy EE czy PE pojawiał się ciekawy temat a potem na schemacie widzę procek i du#a blada. Potem wziąłem się za procki, wpierw była to '51 i kaleczny bascom z kupą błędów i koszmarem...

    DIY Dom Ogród   07 Lis 2020 15:14 Odpowiedzi: 18    Wyświetleń: 5796
  • Atxmega128 do Atxmega256: USART nie działa po przeniesieniu kodu

    Symulator jest tu wystarczający. Tak - w obu przypadkach (XMEGA128 i XMEGA256) powinieneś uzyskać te same wartości rejestrów wykorzystywanego USART, w szczególności rejestrów odpowiedzialnych za szybkość transferu (BAUDCTRL). Symulator pokazuje stan symulowanego procesora, natmiast Drago pokazuje stan procesora fizycznego (tego, którego masz na płytce)....

    Mikrokontrolery   12 Sty 2014 20:24 Odpowiedzi: 24    Wyświetleń: 3555
  • Jak sprawdzić 4-pinowy czujnik temperatury w Golfie

    może się zgadzają ostatnie cyfry ( bodaj 511 jak pamiętam) ale jest jeszcze index A,B,C :) Wazny jest pieścień na czujniku , u Ciebie ma być zółty. Czujnik temp. cieczy jest na kablu zółty z czerwonym paskiem ( dół zegara) i obwód termometru zamyka sie przez czujnik kablem brązowym, lub brązowy z czarnym pakiem. Jak silnik jest gorący rezystancja czujnika...

    Samochody Elektryka i elektronika   02 Lut 2008 23:19 Odpowiedzi: 7    Wyświetleń: 14059
  • Problem z odczytem danych z wyświetlacza sekwencyjnego

    Oryginalny układ działa tak: 1. Pojawia się opadające zbocze na wyjściu generatora. 2. ok. 50ns później ustala się numer pozycji na wejściu 7442 3. po następnych 16ns ustala się numer pozycji na wyjściu 7442 4. po następnych 20ns ustalają się dane na wejściu 7447 Czyli od momentu pojawienia się zbocza opadającego upływa jeszcze ok 90ns do ustalenia...

    Mikrokontrolery AVR   16 Mar 2009 13:12 Odpowiedzi: 63    Wyświetleń: 7438
  • Zegar RTC na mega16. Nie zmienia się czas na wyświetlaczu.

    Oczywiscie ze zacząłem od tych przykladow tym bardziej ze sa powiuelone w helpie. Wlasnie opierajac sie na tych przykladach stwierdzilem ze mi nie dziala. A np zadzialaoby w symulatorze programowym do bascoma. Mi nie dziala. Jak nie działa(w symulatorze) , jak działa ;) Zaptaszkowałeś opcję Sim Timers , zezwoliłeś w programie na przerwania :?: Ten...

    Mikrokontrolery   19 Paź 2006 14:17 Odpowiedzi: 17    Wyświetleń: 2298
  • Xilinx ISE + Modelsim - problemy z podglądaniem pamięci

    Witam! Mam problem z podglądem zawartości pamięci podczas symulacji w Modelsimie. Kod pamięci wygląda następująco: type ram_type is array (0 to 15) of std_logic_vector(15 downto 0); signal RAM : ram_type:=(X"0064", X"0064", X"0064", X"0060", X"1064", X"0064", X"0064",...

    Programowalne układy logiczne   23 Lis 2006 13:10 Odpowiedzi: 2    Wyświetleń: 1829
  • [Atmega328] - Sprawdzenie schematu sterownika do akwarium

    https://www.youtube.com/watch?v=MYgew7OQ... Sterownik oświetlenia LED akwarium zbudowany na arduino. Możliwość sterowania według zegara oraz przez androida (tryb manualny). Oświetlenie symuluje świt oraz zmierzch słońca. Składa się z diod 4x 1W czerwonych, 4x 1W niebieskie, 10x 3W zimne białe, 6x ciepłe białe. Na filmie przyspieszona wizualizacja, normalnie...

    Projektowanie PCB   12 Sty 2015 20:41 Odpowiedzi: 12    Wyświetleń: 4689
  • Eksperymentalny tykajacy zegar nixie w technologii druku 3D

    Nixie zasilane jest dość wysokim napięciem, a wyeksponowane od góry połączenia owijane aż się proszą, żeby ktoś nieostrożny ich dotknął. Będzie nieprzyjemny dreszczyk :-) Skoro zadałeś sobie trud projektowania mechaniki, to dlaczego nie zrobiłeś wszystkiego tak jak się to robiło kiedyś? Gdybyś zaczął od silnika napędzającego licznik sekund nie potrzebowałbyś...

    DIY Konstrukcje   17 Sty 2025 22:16 Odpowiedzi: 17    Wyświetleń: 2310
  • Syntezator - 45 przycisków, 38 potencjometrów czyli jak to multipleksować itd?

    Czy ktoś mógły podzielić się wiedzą lub sposobem by nie ciągnąć miliona kabli z panelu do urządzenia lecz np jedną taśma 25pin wykorzystując multi/demultipleksowanie , przerzutniki. licznik, zegar. Posiadam wszystkie kostki z serii CD4... i słabe doświadczenie w sterowniach. Czy przyciski robić matrycowo czy jakoś inaczej, potencjometry na multiplekserach?...

    Początkujący Elektronicy   29 Maj 2018 19:45 Odpowiedzi: 31    Wyświetleń: 1563
  • Megane 2 1.5dci - kontrolka ciśnienia oleju ( nie zapala się natychmiast?)

    Zastanawiałem się jak to możliwe by zajechać wał korbowy tak ,że mało co nie "wypadł " . Po naprawie silnika, za symulowałem brak ciśnienia oleju(zwarcie do masy) Zapłon, kontrolka ok./ zapala kontrolka gaśnie jadę 15min. i dopiero wykrywa brak ciśnienia STOP itd. tak jak powinno być od razu ze zmasowanym kabelkiem. Po zgaszeniu i ponownym zapaleniu...

    Samochody Elektryka i elektronika   04 Lip 2015 10:03 Odpowiedzi: 1    Wyświetleń: 2322
  • Jak zasilić Atmega8, by zegarek binarny działał w trybie uśpienia?

    Po pierwsze pokaż schemat, bo jak mamy pomóc Ci go zmodyfikować? Po drugie być może wystarczy zastosować dwie diody, by na nich zwykły układ OR zrobić. A skoro chcesz gotowy układ przeanalizować to tutaj masz wraz z animacją i możliwością symulowania we własnym zakresie: http://mikrokontrolery.blogspot.com/2011...

    Mikrokontrolery   03 Sty 2012 16:47 Odpowiedzi: 9    Wyświetleń: 5284
  • Beninca Kbull8 - Automatyczne otwieranie i zamykanie bramy o określonych godzinach

    W tym napędzie jeśli to wersja centralki z wyświetlaczem i z osobnymi wejściami OPEN i CLOSE to proste - 3 zegary dobowe (albo lepiej przekaźniki czasowe z timerami dobowymi - zegary można ustawić z dokładnością minutową, timer może wydać impulsy sekundowe z dokładnością sekundową) - jeden podający o ósmej rano impuls na OPEN, drugi w tym samym momencie...

    Automatyka bram, szlabanów, rolet   22 Paź 2014 21:29 Odpowiedzi: 1    Wyświetleń: 756
  • Jak zaprojektować licznik synchroniczny na przerzutnikach D z sekwencjami S=0, 0 1 3 i S=1, 0 3 1?

    Udało mi się już samemu do tego dojść, ale i tak dziękuję za pomoc. Wyszło wszystko tak samo jak u kolegi. Moim podstawowym błędem było to, że chciałem, aby automat wykonywał sekwencję do końca bez cofania się dlatego też kombinacje ze stanami wewnętrznymi były takie kłopotliwe. Czytając treść zadania doszedłem do wniosku, że nie ma takiego wymogu i...

    Automatyka Przemysłowa   07 Lut 2012 16:45 Odpowiedzi: 3    Wyświetleń: 5327
  • AVRStudio - różnice w symulacji czasu dla ATmega16, kwarc 7.3728 MHz, preskaler 8

    Mam taka uwage...Zrobilem sobie timer (T1) ktory mierzy do wartosci OCR1A...(atmega16, kwart 7372800 Hz, preskaler N= 8 ) Wszytko dziala tyle ze jak np chce odmierzyc jakas wartosc np 4.752ms to obliczajac ze wzoru na tryb CTC: OCR1A = Fkwarcu/ Dodano po 39 Zrobilem inny pomiar dla tych samych warunkow tyle ze symulowalem ekspymentalnie czas 1,28ms...

    Mikrokontrolery   05 Gru 2006 22:18 Odpowiedzi: 2    Wyświetleń: 1029
  • S7-1200 tworzenie (logowanie) danych - w plikach csv

    Witam, potrzebował bym pomocy z s7-1200 i funkcjami DataLog... Przeczytałem to, co jest na supporcie Siemensa, ale przykład przez nich uzyty wydaje mi się za bardzo skomplikowany. Do celów edukacyjnych chciałbym zrobić na początku prostszą formę zapisu danych. Stworzyłem sobie projekt, w którym mam licznik. Zegar generowany w PLC symuluje go. Następnie...

    Automatyka Sterowanie PLC   15 Sty 2018 15:26 Odpowiedzi: 9    Wyświetleń: 6567
  • Zegar cyfrowy z termometrem 5V. Prezent idealny?

    Jak dla mnie najprzyjemniejsze są jednak czerwone, ewentualnie coś na pograniczu zieleni i błękitnego (jak w wyświetlaczach VFD). Te widzę zawsze ostro😀 Ostatnio dość modne są też wyświetlacze LCD udające nieco tradycyjne wyświetlacze siedmiosegmentowe LED. Są one podświetlane na stałe białym światłem LED a sam LCD działa na zasadzie negatywowej,...

    Artykuły   31 Paź 2023 01:11 Odpowiedzi: 161    Wyświetleń: 7005
  • Niezgodne wyniki w symulacji parametrycznej Pspice - jak to naprawić?

    Witam. Podczas symulacji układu chciałem przeprowadzić symulacje parametryczna. Pierwszy krok zawsze symuluje się prawidłowo, natomiast wartości podawane w kolejnych krokach są, inne niż podczas ich symulacji oddzielnie. Dodam jeszcze, że Spice nie wyrzuca żadnych ostrzeżeń, błędów. Ktoś ma jakiś pomysł? .lib 7400.lib .lib dig_io.lib VCC Vcc 0 5V VA...

    Początkujący Naprawy   12 Cze 2010 18:42 Odpowiedzi: 3    Wyświetleń: 1974
  • Ekran LED - część Linia LED - jak go zrobiłem (AVR, Linux, WiFi)

    R-MIK: Po pierwsze - dzięki. Ale jak wspominam czasy wspomniane przez Ciebie naprawdę łezka się kręci w oku. Kiedy broniłem pracy dyplomowej to był rok 1993. A robiłem z kolegą coś jak na tamte czasy tak nowoczesnego w swym zamierzeniu, że pytania komisji były na poziomie - A jak to działa? Jako pracę dyplomową zaprezentowaliśmy "Zegar cyfrowy na wyświetlaczach...

    DIY Konstrukcje   03 Lut 2018 12:40 Odpowiedzi: 80    Wyświetleń: 46803
  • Jak przeliczać przepełnienie Timer1 16bit w ATmega32 z preskalerem 256?

    Symulator i zegar cwiczę na ATmega 32/16 Mhz. Z racji tego że długo nie oprogramowywałem atmeli mam problem ze wszystkim, trzeba się tego uczyc od początku. A już z timerami to już porażka. Buduję podobny zegar jak Mirrek36 www.elektroda.pl/rtvforum/topic678948.ht... z tym że on synchronizuje czas z kompa a ja chcę synchronizowac z DCF-a. Pozdrawim Właśnie...

    Mikrokontrolery   22 Gru 2012 16:48 Odpowiedzi: 54    Wyświetleń: 14883
  • [verilog] Różnice między modułami VHDL i Verilog w obsłudze VGA na Spartan3e?

    pomijając to że & a powinno być &&. Zrobiłem jak powiedziałeś dałem dwa projekty jeden w verilogu drugi w VHDL-u w jednym "zbiorczym pliku" nazwałem go top_level.vhd . Prze symulowałem zachowują się identycznie ... ok pozmieniałem wszystko tak aby było zgodne z ucf-em. I podpoiłem z poziomu top_level tylko top_verilog do wyprowadzeń układu aby tylko...

    Programowalne układy logiczne   29 Lis 2010 22:50 Odpowiedzi: 8    Wyświetleń: 2232