Nie znalazłem do Vectora, ale Casio powinno działać bardzo podobnie. Sprawdź to: http://ftp.casio.co.jp/pub/world_manual/...
podpinam sie do zapytania, tyle ze ja mam problem z posiekanym wyswietlaniem w 106-pinowym wyswietlaczu graficznym (tlumacz Vector 4J 2x16 znakow). Da sie stan stykow jakos poprawic, czy tlumacz juz do wyrzucenia??
Witam Jestem poczatkujacym "programista" c++, mam w szkole za zadanie napisac kalkulator ktory oblicza: pierwiastek, potege, szescian i silnie. Napisalem taki kalkulator ktory poprawnie oblicza trzy pierwsze zadania, ale nie wiem w jaki sposob do tego kodu dopisac obliczanie silni. Niby oblicza ale niepoprawnie. Sorry za brak polskich czcionek ale uzywam...
Dioda LED ma zabezpieczać przed nadmiernym wzrostem napięcia na ogniwie solarnym np. w przypadku bezpośredniego wystawienia na światło słoneczne lub lampy biurkowej (napięcie przewodzenia diody LED) - i tym samym przeładowaniem akumulatorka pastylkowego. Równie dobrze mogłyby to być np. dwie diody 1N4148 połączone w szereg, co się stosuje np. w niektórych...
Witam, mam kalkulator Vector CS-102, na 100% obsługuje liczby zespolone, jednak słowem w instrukcji na ten temat nic nie ma, napisy na przyciskach też nie nie pokazują, a jest mi on niezmiernie potrzebny na jutrzejszy sprawdzian z zadań z elektrotechniki, pomoże ktoś? Przykładowe równanie do obliczenia: I= 230 e^j 45°/10 + j10
Witam serdecznie ! Mam w pracy kalkulator Vector LP-203 TS i nie mogę znaleźć do niego instrukcji obsługi. Problem polega na tym , iż nie mogę ustawić funkcji drukowania paska obliczeń. Jeśli ktoś mógłby mi pomóc to byłabym wdzięczna. Dziekuje
Witam wszystkich:D:D powiem szczerze ze głupia sprawa no ale mam problem z moim kalkulatorem marki Vector z funkcjami trygonometrycznymi kiedy mu wpisuje takie równanie 4 x (pi-3,14..) x 1,0006 x 10(kwadrat) = a tutaj mi wyskakuje" syntax error " oco chodzi?? w tym rówaniu czy jak to nazwac iloczyn napisałem literką"x" a liczbę pii tzn 3,`14.. opisałem...
Wiem, że raczej coś namieszałem niemiłosiernie. Zaraz biorę się za czytanie o "State Machine". Dziękuje [syntax=vhdl]library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity kalkulator is Port ( switch : in STD_LOGIC_VECTOR (7 downto 0); button : in STD_LOGIC_VECTOR (2 downto 0); vec1,vec2 : inout STD_LOGIC_VECTOR (7 downto...
Masz niepełną instrukcję wyboru case , między when "0100000" => S <= "11"; S3S2S1S0 <= "1000"; -- / end case; wstaw when others => null; synteza pójdzie, nie wiem jak z poprawnością działania kalkulatorka (;. Do tego bym się przyczepił: B <= (others=>'0'); Lepiej będzie wyglądało tak: B <= CONV_STD_LOGIC_VECTOR(0, 8); że program...
Używanie klawiatury w vhdl'u library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity KeyboardController is Port ( Clock : in STD_LOGIC; KeyboardClock : in STD_LOGIC; KeyboardData : in STD_LOGIC; LeftPaddleDirection : buffer integer; RightPaddleDirection : buffer integer...
jest niejednoznaczny, s1=0 then znaczy, ze jesli s1 rowne jest zero, to bezwarunkowo L1 ma przyjac jakas wartosc, zaraz potem jest; if s1='0' and s3='0', co 'kloci' sie z linijka powyzej; zrob z s1, s2, s3 wektor i if-elseif zamien na 'case' od tego wektora, ew. powinno byc jakos tak: if s2='0' and s3='0' and s1='0' then ... elsif s2='0' and s3='0'...
Udało mi sie coś stworzyć, skompilowało i żadnych błędów nie ma ale czy bedzie to działać dowiem sie dopiero po wgraniu tego w płytkę. Prosiłbym, żeby ktoś na to spojrzał i przedstawił swoje sugestie. LIBRARY ieee ; USE ieee.std_logic_1164.all ; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY kalkulator IS PORT (clk: in std_logic;...
Polska instrukcja Obsługi Kalkulatora naukowego Vector CS-103, Zeskanowana, 25 stron, niecałe 2MB
Witam Jakiś czas temu otrzymałem za zadanie napisanie prostego kalkulatora 8 bitowego w języku VHDL. Jako że ze mnie raczej kiepski programista, a już na pewno w języku opisu sprzętu, często wspomagałem się wiedzą ludzi z forum oraz kolegi z osiedla, który programowanie ma w małym palcu, z jego i Waszą drobną pomocą udało się sporządzić kod, wykładowca...
ciało programu: [syntax=cpp]#include "stdafx.h" #include <iostream> #include "vector.h" int _tmain(int argc, _TCHAR* argv[]) { int n,p,a; double *A,*B; string nazwa,nazwa2; cout<<"Podaj precyzje wektorow"<<endl; cin>>p; cout<<"Oto, kalkulator wektorowy, proszę podać rozmiar obu wektorow"<<endl; cin>>n; A= new...
https://obrazki.elektroda.pl/2815306500_... W tym temacie pokażę jak każdy może uruchomić Nemotron 3 Nano na własnym komputerze a potem przetestuję go z różnego rodzaju zadaniami z elektroniki i programowania. Nemotron 3 Nano to nowy myślący model LLM oparty o architekturę Mixture-of-Experts z hybrydą Mamba-2 + Transformer. Model ma...
Dokończyłem zadanko, podaję kod jakby ktoś w przyszłości miał taki sam/podobny program do napisania: [syntax=cpp]#include <cstdlib> #include <iostream> #include <vector> #include <math.h> using namespace std; struct punkt { double x; double y; }; ostream &operator<<(ostream &os, punkt p) { os << p.x <<...
Witam. Od dawna używam kalkulatora CS-129 i w końcu przyszedł czas na wymianę baterii. Kupiłem takie same jak poprzednie z symbolem LR44. I tu zaczyna się problem. Po zainstalowaniu ich w kalkulatorze wszystko jest dobrze przez 5 sekund. Po tym czasie kalkulator zawiesza się i pokazują się na ekranie dziwne znaczki, albo też zapala się wszystko, w każdym...
Kalkulator naukowy Vector CS-102 Stan: używany Cena: 17 PLN http://obrazki.elektroda.pl/2999372700_1... Wysyłka gratis! Link do aukcji:[url=http://allegro.pl/kalkulator...
Witam, Dzieki za wyczerpujaca odpowiedz, widze ze tez zaglebiasz sie w szczegoly tego ustrojstwa ;]. W tej chwili u mnie juz dziala jak trzeba. Jezeli chodzi o wywolanie funkcji to mialem na mysli wywolanie funkcji juz z mojej funkcji obslugi przerwania. Sprawa wygladala tak, ze mialem mthumb-interwork z tym ze dla samej komendy linkowania gotowych...
Hej, Mam dosyć dziwny problem z ustawieniem dokładnej wartości zegara. Pracuję na zestawie z firmy kamami. Płytka posiada wlutowany kwarc 12Mhz. Do wyliczenia wartości MUL i DIV wykorzystałem kalkulator dostarczony przez Atmela. W zamierzeniu procesor miał działać na 48Mhz ale po odpaleniu nadajnika szeregowego w DBGU i ustawieniu go na 115200 udaje...
Witam! W takiej procedurze chciałem zrobić pętle od 0 do długości pewnej zmiennej którą mam przypisaną globalnie. Zrobiłem to tak: [syntax=cpp] public: System::Void rozdzielDane() { for(int i=0;i<zawartoscPliku.length();i++) { if(zawartoscPliku[i]!=';') { } else { i++; } } } [/syntax] Niestety wyskakuje błąd "error C2228: left of '.length' must have...
Witam! Mam pewien problem, otóż w głównym Windows Formie mam stworzoną statyczną tablicę rozdzieloneDane: [syntax=cpp] #pragma once #include "ekranInformacje.h" #include "ekranZlotowkiNaWybrana.h" #include "ekranWybranaNaWybrana.h" #include "ekranWybranaNaZlotowki.h" #include <vector> #include <string> #include <iostream> #include...
kalkulator vector vector instrukcja vector klasa
podgrzewacz gazowy opalia temperatura silnika sonda markowe akumulatory
program vwtool epson stylus papier rolka
Kody do pilota Grundig 8w1 - jak zaprogramować? Ford Focus MK1 – wolno opadające obroty na rozgrzanym silniku, IAC, TPS, VSS, dolot