REKLAMA

zakłócenia linia oscyloskop

Znaleziono około 240 wyników dla: zakłócenia linia oscyloskop
  • Oscyloskop - zakłócenia. Sonda nie ma ekranu z blachy.

    Sonda jest bardzo sfatygowana musiałem ją zlutować do kupy i nie ma ekranu ŻADNEGO wewnątrz :D po odłaczeniu sondy nawet bez uziemienia oscyloskopu nie ma zupełnie żadnych zakłóceń nawet na czułości 5mv/działkę po dotknięciu masą sondy do masy oscyloskopu na nastawie 5mv/działkę też nie ma zakłóceń.Mało tego po podpięciu pod wejście 30cm odcinka zwykłego...

    Warsztat elektronika   17 Lip 2008 14:17 Odpowiedzi: 5    Wyświetleń: 1661
  • serwomechanizm - zakłócenia.

    Wiecie panowie, tylko ja miałem na myśli raczej 1000uf+ pomiędzy zasilanie, a nie w linię sterującą. Bez oscyloskopu trudno powiedzieć co tam się dzieje, ale podejrzewałbym, że ten kondensator 10uF pozwala trochę wyrównać napięcie linii sterowania wobec skaczącego napięcia masy i dlatego to lepiej działa. Tylko problemem jest ta skacząca masa, a nie...

    Robotyka Modelarstwo i CNC   08 Gru 2009 22:15 Odpowiedzi: 7    Wyświetleń: 3241
  • Zakłócenia PWM mostek H - projekt

    Witam. Zaprojektowałem i wykonałem układ taki jak na schemacie: Teoretycznie zwykły układ PWM... ale :) układ sieje mi straszne zakłócenia na liniach zasilających w momencie przełączania mosfetów, nawet gdy nie ma obciążenia na mosfetach. Są to niewielkie (rzędu 100mV) i krótkie (rzędu 500ns) szpilki ale w zupełności wystarczają do tego aby wyzwolić...

    Projektowanie Układów   24 Lis 2012 23:42 Odpowiedzi: 28    Wyświetleń: 5703
  • REKLAMA
  • wybór oscyloskopu uzywanego do 800zł

    Nie bardzo wiem, do czego zmierzacie Koledzy, ponieważ jeden z was pokazał jako przykład film z totalnie złym ustawionym wyzwalaniem, czyli w ogóle nie uzyskał odwzorowania przebiegu, a drugi Kolega twierdzi, że nie widzę różnicy w parametrze, na który sam zwróciłem tu uwagę. Za to wyraźnie widzę, jak oprogramowanie na podstawie zebranych danych próbuje...

    Warsztat co kupić?   10 Maj 2016 08:13 Odpowiedzi: 97    Wyświetleń: 7104
  • Oscyloskop Philips PM 3254

    Dzięki za poradę i schemat.Z tym modelem,który podałeś nie ma problemu w sieci.Miałem go. Nie mniej udało mi się samemu znaleźć usterkę.Był oderwany kabel w środku /koncentryk/.Wszystko gra! Jednak po przesunięciu linii na samą górę,lub dół,linia się "wykrzywia".Tzn.jest prosta,jednak przesunięta względem poziomu o kilka kreseczek z prawej i z lewej.Regulacja...

    Warsztat elektronika   22 Sty 2014 09:22 Odpowiedzi: 10    Wyświetleń: 3327
  • REKLAMA
  • Fiat Panda-zakłócenia na linii CAN

    Jest tak-samochód zgaszony, zapłon włączony-deska działa poprawnie. Silnik zapalony-kontrolki kierunkowskazów nie pokazują stanu rzeczywistego (albo świecą ciągle, albo są zgaszone), ponadto okresowo deska dostaje reset, czyli -zapala sie podświetlenie deski -wskaźnik poziomu paliwa i temperatury idzie w dół, po czym się podnosi -zapalają się przypadkowe...

    Samochody Elektryka i elektronika   20 Maj 2011 20:00 Odpowiedzi: 14    Wyświetleń: 7103
  • Corsa C Z12XE: Zakłócenia na linii CAN po odpaleniu - jak diagnozować oscyloskopem?

    Witam potrzebna pomoc w diagnozie CAN na moje oko są jakieś zakłócenia po odpaleniu bo po zgaszeniu i załączony zapłon przebieg wygląda OK oscyloskop to nie jest jakaś moją mocną stroną dopiero zaczynam przygodę.A problem ogólnie taki że traci komunikację BCM z ECU które zostały wymienione . Czy ktoś z doświadczonych elektroników może się wypowiedzieć...

    Samochody Elektryka i elektronika   30 Lis 2018 23:33 Odpowiedzi: 0    Wyświetleń: 975
  • Philips 21PT165c/ AA5AB zakłócenia w sterowaniu linii

    Kilka miesięcy temu(po wymianie trafa i TDA8362-5 w podstawce) były mniej widoczne, a teraz żyć nie dają. Elektrolity wyminione już hurtem, nie wrażliwy na ciepło ani na wstrząs; trafo podmienione jeszcze raz. Co ciekawe pomaga dotknięcie oscyloskopem nóżki 16. TDA; ale kondensator w tym miejscu nie pomaga.

    TV CRT Serwis   09 Maj 2009 09:04 Odpowiedzi: 4    Wyświetleń: 1449
  • REKLAMA
  • jakieś zakłócenia na liniach P1.x

    Witam! Czy Ktoś tu spotkał się z takim problemem: ? Po rozciągnięciu podstawy czasu: , czyli nie są to zwykłe szumowe zakłócenia zasilania... Zresztą zasilanie jak podłączałem oscyloskop jest czyste... Co to może być? Te przebiegi to przebiegi na wyjściach portu P1 AT89C2051 taktowanego 24MHz... Czy Ktoś pomoże?

    Mikrokontrolery   28 Sie 2010 18:24 Odpowiedzi: 2    Wyświetleń: 1070
  • Oscyloskop Hung Chang 3502 2 x 20MHz - warto?

    Witam. Co myślicie o tym oscyloskopie: OSCYLOSKOP HUNG CHANG 3502 2 x 20MHz Cena 350 zł CECHY CHARAKTERYSTYCZNE 1. Duża czułość i szerokie pasmo przenoszenia. 2. Niski pobór mocy. 3. Duża czułość trybu X - Y. 4. Modulacja nasycenia obrazu (tzw. funkcja osi Z ). 5. Filtry impulsów synchronizacji sygnału wizyjnego (TV). 6. Filtry tłumiące zakłócenia w....

    Warsztat co kupić?   08 Mar 2014 17:35 Odpowiedzi: 15    Wyświetleń: 5565
  • LPC1769 - SPI + przetwornik ADC - zakłócenia przy nieekranowaniu lini SPI

    Ok, może nieco skomplikowałem. Jeszcze raz dla wyjaśnienia: 3 bloki/płytki: - blok wzmacniacza termopary, - blok przetwornika ADC, - blok mikrokontrolera, Połączenia między blokami/płytkami: - blok wzmacniacza termopary (na razie tylko jedna) <-> blok przetwornika ADC: przewód koncentryczny ok. 20 cm, - blok przetwornika ADC <-> blok mikrokontrolera:...

    Mikrokontrolery ARM   23 Paź 2018 07:15 Odpowiedzi: 11    Wyświetleń: 1440
  • Zakup pierwszego oscyloskopu

    Miernik pozwala na pomiary wielkości fizycznych . Oscyloskop mierzy napięcie , ale przede wszystkim pozwala na pomiar rzeczywistych wartości w przedziale czasowym . Bez oscyloskopu nie zmierzysz opóźnień działania przekaźników , zakłóceń na liniach czujników jak również działania falowników czy przetwornic . Dodatkowo nowe oscyloskopy często mają możliwość...

    Warsztat co kupić?   25 Sty 2018 10:48 Odpowiedzi: 76    Wyświetleń: 8697
  • Dell Precision M6800 - Zakłócenia linii audio. In / Out.

    To może oscyloskopem sprawdzić "jakość" napięcia. pod obciążeniem

    Laptopy Hardware   13 Mar 2023 08:48 Odpowiedzi: 7    Wyświetleń: 234
  • REKLAMA
  • Maksymalna długość linii SPI

    Z pewnością toleruje. Oczywiście im dłuższe połączenie tym mniejsza częstotliwość pracy. Błąd musi być w innym miejscu. Sprawdź dokładnie połączenia. Niestety to bzdury. Zmniejszenie częstotliwości nic nie zmienia, bo nie tylko częstotliwość jest istotna, ale także szybkość narastania/opadania zboczy sygnału, a na to nie ma wpływu częstotliwość magistrali....

    Mikrokontrolery ARM   06 Cze 2017 12:12 Odpowiedzi: 26    Wyświetleń: 4509
  • Sekrety oscyloskopów cyfrowych - poradnik (nie tylko) dla początkujących, cz. 1

    Pod jednym z ostatnich moich artykułów rozgorzała intensywna dyskusja na temat oscyloskopów cyfrowych. Pomyślałem sobie, iż niektórzy, zwłaszcza początkujący, mogą sobie nie zdawać sprawy z pewnych aspektów używania oscyloskopów i ich użytecznych oraz ograniczeń. W miarę możliwości będę przedstawiał te zagadnienia na własnych przykładach używając oscyloskopu...

    Poradniki Elektronika   18 Cze 2023 17:41 Odpowiedzi: 103    Wyświetleń: 6810
  • Oscyloskop S1-19b zniekształcony przebieg, naprawa

    Lampa oscyloskopowa świeci jasno ale zamiast plamki pojawia się zniekształcona linia rozciągająca się w prawą stronę, po włączeniu podstawy czasu powstaje rozmyta linia, na wolnej częstotliwości widać, że zamiast kropki po ekranie przesuwa się ta nieszczęsna zniekształcona linia. Nie wiem czym to może być spowodowane. Spowodowane jest przedostawaniem...

    Elektronika Retro   27 Lip 2011 22:32 Odpowiedzi: 31    Wyświetleń: 6074
  • Jaką obudowę wybrać do zasilacza warsztatowego z ATX? Przetwornice a zakłócenia.

    Ad 1. Nie mam wiedzy Ad 2. Mogą zakłócać pomimo ekranowania. Składowe wysokich częstotliwości wydostaną się po przewodach. Ad 3. Zasilacz ATX słabo nadaje się do takiego wykorzystania. Wysokie moce wynikające z zadeklarowanych na tabliczce znamionowej prądów obciążenia mogą być odbierane pod warunkiem równomiernego obciążania wyjść. Jeśli więc obciążysz...

    Układy Zasilające   02 Cze 2020 08:09 Odpowiedzi: 1    Wyświetleń: 540
  • XMega 128A3U - Zakłócenia na I2C.

    Cześć, Realizuję układ komunikujący się po I2C. W XMega128A3 wykorzystuje oba porty TWIE i TWIC do komunikacji z peryferiami. Na każdej linii (port E i C) zamontowane są po dwa moduły (ograniczenie wynikające z adresacji modułów). Oba porty nigdy nie komunikują się w tym samym czasie. Na płytce stykowej wszystko śmigało, natomiast po podłączeniu do...

    Mikrokontrolery AVR   12 Cze 2014 09:49 Odpowiedzi: 12    Wyświetleń: 2001
  • [Pracownia] Oscyloskop. Pomiary. Znaczenie pokręteł.

    To tutaj przy pomiarze prądu stałego: 1.jaki wpływ na wskazania oscyloskopu mają: a) Położenie przełącznika AC/DC b) Położenie przełącznika 0/1 ( GND ) c) Położenie przełącznika +,- ( Y-Invert) d) Wzajemne kombinacje położenia W/W przełączników e) Zmniejszenie zakresu wzmocnienia toru odchylenia. f) Zmiana...

    Początkujący Elektronicy   05 Lis 2006 19:57 Odpowiedzi: 9    Wyświetleń: 4632
  • Sondy pomiarowe. Oscyloskop.

    na masie są zakłócenia? Względem czego mogą być te zakłócenia bo nie rozumiem? Pomiędzy masą badanego urządzenia i oscyloskopu, jeśli częstotliwości są duże, przy odpowiednio dużym poziomie zakłóceń, będą wchodzić do toru pomiarowego, niezależnie od tego czy sondy są "markowe" czy "tanie", nie wszystko da się zwalić na chińczyków, czasami prawa fizyki...

    Początkujący Naprawy   19 Gru 2012 22:40 Odpowiedzi: 11    Wyświetleń: 5184
  • oscyloskop saga, jak sprawdzić - Jak wykonać pomiar oscyloskopem saga

    Zastosowanie gniazda DIN może sugerować jakość (dokładność) tego oscyloskopu. Nie znam akurat niczego o tak wdzięcznej nazwie (poz herbatą), więc mogę się mylić. Można jakąś fotkę panela czołowego poprosić? Z tego co się orientuję "przebieg" zasilacza do CB powinien być płaską linią, o odchyleniu od "zera" (oscyloskopu) w górę o tyle ile wynosi z przelicznika...

    Warsztat elektronika   03 Lut 2013 21:00 Odpowiedzi: 11    Wyświetleń: 5223
  • Zakłócenia od falownika przenoszące się na linię telefoniczną

    Witam serdecznie Potrzebuję porady. Otóż od pewnego czasu miałem problemy z synchronizacją mojego połączenia VDSL. Zanim jednak zadzwoniłem na infolinię Orange zaobserwowałem jednak pewną zależność. Mam w domu tokarkę. Kiedy zostaje ona uruchomiona tracę synchronizację. Zacząłem więc analizować temat w tym kierunku i to co zaobserwowałem co najmniej...

    Automatyka Falowniki   09 Wrz 2016 00:21 Odpowiedzi: 2    Wyświetleń: 2589
  • Weryfikacja właściwości symulatora wyładowań elektrostat. za pomocą oscyloskopuSponsorowany

    NINIEJSZA NOTA APLIKACYJNA: •Ma na celu pomóc projektantom w weryfikacji kształtu impulsów prądowych z symulatorów ESD przed ich użyciem w końcowych lub wstępnych testach zgodności; •Obejmuje podstawową wiedzę teoretyczną dotyczącą wyładowań ESD; •Opisuje podstawowy system testowania symulatorów ESD z użyciem oscyloskopu; •Wyjaśnia...

    Artykuły   06 Gru 2021 19:33 Odpowiedzi: 2    Wyświetleń: 7041
  • Czterokanałowy oscyloskop do komputera

    Projekt dotyczy czterokanałowego oscyloskopu o następujących parametrach: - maksymalna częstotliwość próbkowania sygnału - 200MHz (dwa kanały), 100MHz (cztery kanały), - pojemność pamięci - 128kB na kanał. Połączenie z komputerem za pomocą portu SPP LPT lub USB. Dzięki tłumikom wejściowym można otrzymać jeden z czterech wariantów czułości - 0,05; 0,25;...

    DIY Zagranica   14 Wrz 2012 09:11 Odpowiedzi: 1    Wyświetleń: 8892
  • Oscyloskop a stara instalacja sieciowa.

    Witam. Przekopałem się dziś przez dziesiątki stron internetowych i wątków na różnych forach w poszukiwaniu optymalnego rozwiązania dla mojego problemu. Niesty z uwagi na często sprzeczne odpowiedzi, kłótnie o to kto ma racje i ogólny poziom bałaganu informacyjnego, mam teraz w głowie większy mętlik niż miałem biorąc się "za bary" z googlem. Postanowiłem...

    Warsztat elektronika   03 Gru 2015 22:04 Odpowiedzi: 15    Wyświetleń: 1650
  • OSANMEG oscyloskop 4ch 1ch różnicowy przystawka analizator generator 100k 2M 16M

    Jest to raczej sprzęt dla fanów atmegi ;) Z punktu widzenia ekonomii nie ma wielkiego sensu. Stosując elementy smd oraz inny mikrokontroler można zrobić sprzęt i lepszy i tańszy. A części użyłem jakie miałem na stanie. Właściwości : - 1,2,4 kanały analogowe min (max 100,50,20 ksps) pasmo ok 40 kHz rezystancja ok 2Mom - 1 kanał z wejściem różnicowym,...

    DIY Konstrukcje   25 Sty 2020 09:34 Odpowiedzi: 11    Wyświetleń: 2892
  • Sekrety oscyloskopów cyfrowych - poradnik (nie tylko) dla początkujących, cz. 2

    Czyli jeśli dysponuję transformatorem separacyjnym odpowiedniej mocy lepiej będzie odseparować DUT niż sam oscyloskop. Wtedy podłączenie się do hot ground będzie bezpieczne dla oscyloskopu? To może oczywistości, ale dla porządku: Zawsze: - Masz wątpliwości - zrób rysunek i przemyśl co z czym łączysz. - Nie masz wątpliwości - jak to napięcia niebezpieczne...

    Poradniki Elektronika   13 Lip 2023 00:44 Odpowiedzi: 222    Wyświetleń: 7407
  • ocena skuteczności metod ekranowania linii transmisyjnych

    Fajnie się takie rzeczy bada. Ostatnio na laborkach podłączaliśmy do oscyloskopu dwa rodzaje przewodów z ekranem i bez. Następnie obserwowaliśmy jakie śmiecie pojawiają się na ekranie oscyloskopu. Jeśli masz dostęp do pracowni to pogadaj z jakimś laborantem poda ci wskazówki kupisz w sklepie kilka przewodów o różnym stopniu zabezpieczeń, poczytasz normy...

    DSP i Transmisja   13 Kwi 2005 19:41 Odpowiedzi: 2    Wyświetleń: 1203
  • Oscyloskop mini 4. Plamka ucieka. Dlaczego?

    witam stałem się własnie posiadaczem takiego oscyloskopu. załadowałem do niego nowe lampki(nos`y o wydłużonej żywotności E88CC, E83F) bo starych nie było wogóle. starą założyłem tylko ECF82. wszystko działa na początku dobrze. ale po pewnym czasie 10-20min na zewnętrzej podstawie czasu plamka powoli zaczynała się przesować w lewą stronę aż w końcu znikała...

    Elektronika Retro   07 Paź 2006 16:45 Odpowiedzi: 7    Wyświetleń: 2080
  • Uniwersum FT 81022 chassis 11AK28-3 zakłócenia,zanika kolor

    Walczyłem dziś na warsztacie cały dzień bez efektów z Uniwersum FT 81022 chassis 11AK28-3. Wygląda to tak jakby był "pusty" kondensator w zasilaniu lini : wyrywanie, strzępienie obrazu , a do tego zanika kolor lub migają pasy czerwono-niebieskie. Sprawdziłem wszystkie gałązki w zasilaczu (postawiając kondensatory). Mierzyłem też oscyloskopem. Przejżałem...

    TV Serwis   01 Lip 2007 09:59 Odpowiedzi: 3    Wyświetleń: 1097
  • Jak poprawnie obsłużyć (odczytać) liniał CCD Sony ILX554A?

    Hmmm a z tym czasem to duże ma znaczenie? Wg. dokumentacji tego ILX'a początkowy sygnał ROG i CLK powinny być właśnie przeciwnie do siebie nastawione i występować praktycznie w tym samym momencie - ja daje to tak że załączam jeden sygnał, odczekuje ok 5 us, później zmieniam stan drugiego, kolejne 5 us i dalsza część cyklu odczytu - może tutaj byłby...

    Optoelektronika   21 Kwi 2011 19:45 Odpowiedzi: 6    Wyświetleń: 1539
  • Linia czasu w pionie w oscyloskopie OS-301

    (at)Rysio13 Czyli działa, problem jest zatem z torem Y, wzbudza się, albo ma ekstremalne zakłócenia. Pokaż tego zdjęcie.

    Warsztat elektronika   24 Maj 2015 18:03 Odpowiedzi: 11    Wyświetleń: 1494
  • Oscyloskop Tektronix 465B: rozmazany obraz w osi czasu, przebiegi zachodzą na siebie

    Co sprawdziłem: Napięcia zasilacza: -8V, +5, +15, +55,+110V są prawidłowe (-3mV max) Ustawiłem zwarcie wejścia A i B do masy ( przełącznikiem AC/DC/GND) Jeśli poruszę gałką od zmiany dzielnika wej. (V/Dz) to zmieniają się zakłócenia w obydwu kanałach. Tylko na pozycji 5mV/dz i 0.5V/dz jest jest czysta linia prosta, na pozostałych zakresach widać jakieś...

    Warsztat elektronika   05 Maj 2021 16:56 Odpowiedzi: 8    Wyświetleń: 750
  • Konstrukcja urządzenia do testowania rezystancji izolacji na Arduino - zakłócenia przy pomiarach

    Witam, Skonstruowałem urządzenie do testowania obwodów i rezystancji izolacji w oparciu o Arduino. Urządzenie ma za zadanie sprawdzeń rezystancję izolacji przy napięciu pomiarowym 500V. Całe urządzenie składa się z 6 płytek matryc przełączających oraz 3 płytek sterujących: na jednej arduino, na drugiej przetwornice i na trzeciej układy pomiarowe i dołączające...

    Projektowanie Układów   17 Mar 2021 08:50 Odpowiedzi: 11    Wyświetleń: 432
  • Oscyloskop DT5200 - znika obraz.

    "Linia" - domniemywam, że chodzi o wyświetlany obraz(?) - jest stabilna, bez pływania itp. Po zniknięciu obrazu - pojawiająca się "kreseczka" również nie przemieszcza się (zdj.) Po ponownym, dokładnym sprawdzeniu ustaliłem, że można ją przesuwać pokrętłem o parę (3-4mm) w poziomie. Najjaśniej świeci gdy wybiorę na przełącznikach tryb MODE A/B ---------...

    Warsztat elektronika   18 Lip 2008 10:14 Odpowiedzi: 13    Wyświetleń: 3746
  • Oscyloskop C1-99 - zakłócenia

    Witam. Mam problem z oscyloskopem C1-99 (rocznik 1990). Otóż podczas pracy czasami obraz zaczyna wariować: linia wykrzywia się w różne strony (w nieregularny sposób), staja się ząbkowana (ząbki zwykle są regularne), obraz sygnału skacze w gorę i dół (zwykle w granicach jednej działki Y), synchronizacja ma problemy z działaniem. Dzieje się tak bez względu...

    Warsztat elektronika   22 Wrz 2009 12:35 Odpowiedzi: 2    Wyświetleń: 1091
  • Nagrywarka Philips DVDR3450H - zakłócenia na obrazie

    Mam sprzęt jak w tytule. Problem jaki się od pewnego czasu pojawił to zakłócenia na obrazie zarówno w trakcie odtwarzania z HD jak i z DVD. Zakłócenia są również widoczne w głównym menu nagrywarki. Nagrywarka podpięta pod TV przez złącze SCART. Problem niezależny od TV do którego podpięta jest nagrywarka i niezależny od użytego kabla SCART. Zakłócenia...

    DVD/VCR/Kamery/BD Serwis   27 Sty 2017 23:58 Odpowiedzi: 2    Wyświetleń: 1230
  • LOEWE VITROS 6381ZW - biale smużenia/zakłócenia na obrazie (SCART)

    WItam, mam problem z tym telewizorem - a usterka wydaje sie być wyjątkowo wredna. Telewizor po naprawie już rpaktycznie w 100% dziala prawidłowo - poza nieszczęsnymi zakłoceniami na obrazie. Obecnie Mam mozliwośc przetestowania jedynie przez złącze SCART (DVD lub ew. generator TV). Narazie testowałem podając sygnał z DVD. (gniazdo scart - bez znaczenia)....

    TV CRT Serwis   17 Wrz 2018 08:48 Odpowiedzi: 4    Wyświetleń: 2589
  • oscyloskop

    Podstawowy mój oscyloskop to staruszek węgierski, dwukanałowy, pasmo 250Mhz, podwójna sześciostopniowa podstawa czasu(zoom, lupa opóźnienia, wybieranie kolejnych impulsów...) i ekran 8" --------------------------------------- -po, co takie pasmo-najczęściej do pomiaru na kolektorze tranzystora WN,- szpilka za impulsem, zafalowanie impulsu i zanim wygięcie,...

    Warsztat elektronika   08 Mar 2005 10:26 Odpowiedzi: 45    Wyświetleń: 16984
  • Globo Digital - zakłócenia w RGB

    Pomierzylem oscyloskopem napiecia idace na plyte glowna i faktycznie wyglada na to ze cos jest z nimi nie tak, maja duza skladowa 50Hz, praktycznie na kazdej linii. Pomierzylem tez przebiegi na liniach RGB w scarcie i jest wyrazne pulsowanie (takie unoszenie sie i opadanie widma ramki obrazu). Zamieszczam obrazek z oscyloskopu z przebiegiem napiecia...

    SAT Serwis   15 Lis 2005 09:28 Odpowiedzi: 16    Wyświetleń: 3326
  • Oscyloskop Metrix OX 520B - zakłócenia

    Niedawno kupiłem oscyloskop metrix ox 520b i mam z nim mały problem. Po ustawieniu go na czułość 5mV obserwuję dosyc duże zniekształcenia sygnału. Po zwarciu końcówki sondy do masy gniazda BNC zniekształcenia maleją, ale nie eliminują się do końca (nadal zostaje jakieś 2mm z każdej strony środka podziałki na ekranie). Co to może być, jeśli bez sondy...

    Warsztat elektronika   10 Cze 2006 19:24 Odpowiedzi: 6    Wyświetleń: 2367
  • mmc przez SPI, dziwny problem z zakłóceniami

    tasiemka ma długość ok. 10 cm niespecjalnie długa.. powinno to działać. 2. Oczywiście dolutowałeś masę do "podstawek" gniazda? Dokońca nie rozumiem gdzie miałbym dolutować mase? Jest doprowadzona do odpowiednich pinów karty. to zależy jakim gniazdem dysponujesz..moje ma 2 metalowe "uszy" służące do mocowania gniazda do druku, oprócz tego w kilku projektach...

    Mikrokontrolery   24 Sie 2006 12:48 Odpowiedzi: 15    Wyświetleń: 1836
  • Magistrala CAN - poślizg sygnału,zakłócenia i inne...

    Od dłuzszego czasu bawie sie w zastosowanie magistrali CAN i : w teorii wszystko w porzadku....ale Z załozenia standardu CAN po stronie procesora i dwóch linii CANTX i CANRX idących do transceivera sygnał puszczony na linie CANTX (dokladniej wymuszenie stanu dominującego) powinien natychmiastowo pojawić się również na linii CANRX, gdyz procesor za pomocą...

    Mikrokontrolery   15 Cze 2008 17:36 Odpowiedzi: 20    Wyświetleń: 4697
  • Oscyloskop cyfrowy OWON PDS5022s co sądzicie o tym sprzęcie?

    Witam Posiadam oscyloskop OWON MSO5022 Projektuję urządzenia oparte o mikrokontrolery oraz serwisuję urządzenia do komunikacji głosowej. Więc 2*25 MHz to dla mnie wystarczająco. Zalety: Oscyloskop: Regulacja ustawień przy pomocy pokręteł, co przyspiesza pracę Przyciski funkcyjne obok monitora, co pozwala na intuicyjną pracę Pozwala...

    Warsztat elektronika   20 Sie 2009 18:15 Odpowiedzi: 25    Wyświetleń: 21440
  • Oscyloskop cyfrowy OWON,ktoś miał do czynienia?

    Witam Posiadam oscyloskop OWON MSO5022 Taki jak na fotce wyżej ale ładniejsza obudowa. Projektuję urządzenia oparte o mikrokontrolery oraz serwisuję urządzenia do komunikacji głosowej. Więc 2*25 MHz to dla mnie wystarczająco. Zalety: Oscyloskop: Regulacja ustawień przy pomocy pokręteł, co przyspiesza pracę Przyciski funkcyjne obok monitora,...

    Inne Co kupić?   24 Sie 2008 23:57 Odpowiedzi: 2    Wyświetleń: 2764
  • Transmisja danych cyfrowych po 150m przewodzie - zakłócenia

    Mozesz zastosowac na przewodach nadajniki/odbiorniki linii np. DS7830/DS7820 itd. Szukaj wsrod line driver/receiver. Chociaz najprosciej to zrealizowac stosujac 75176 jako nadajnik/odbiornik RS485, to sie laczy po prostu do portow RX/TX mikrokontrolera. Przy tak dlugiej linii moze jeszcze zajsc koniecnzosc dopasowania poziomu mas. Generalnie problem...

    Mikrokontrolery AVR   06 Lut 2010 22:24 Odpowiedzi: 33    Wyświetleń: 5938
  • Oscyloskop C1-73,zaklócenia zamiast przebiegu

    Fitos, kalibrator w oscyloskopie służy do kalibracji sony, dokładniej do jej kompensacji. To normalne że sygnał o amplitudzie powiedzmy 1Vpp nie będzie widoczny na zakresie 0,01V na działkę. Jeśli na najniższych zakresach przy zwartym lub rozwartym wejściu widać tylko linię, lub jakieś tam drobne zakłócenia, w postaci grubszej lini, to wszystko jest...

    Warsztat elektronika   30 Cze 2010 22:38 Odpowiedzi: 5    Wyświetleń: 3963
  • Siesta3 M652 zakłócenia treści obrazu.

    Obraz jak by był pofałdowany w poziomie / na pionowych liniach jest to widoczne jako zafalowanie pionowych linii / Zjawisko to jest widoczne zwłaszcza wtedy jak dam trochę większy kontrast i na granicy jasnego i ciemnego tła Oscyloskopem sprawdziłem filtrację napięć zasilających - jest dobra . Wymieniłem elektrolity po pierwotnej i wtórnej strony przetwornicy...

    TV Początkujący   10 Paź 2010 07:22 Odpowiedzi: 5    Wyświetleń: 1137
  • FlyBack - jak wyeliminować zakłócenia?

    Witam. W pewnym projekcie zastosowałem przetwornicę flyback na układzie UC3843 (12V -> 5V, 5V, -5V, 12V). Schemat poniżej: Napięcia mam poprawne, jednak na całej płycie roznoszą się zakłócenia 82kHz od przetwornicy. Przetwornica jest na płycie, na której jest też uprocesor AT32UC3 oraz przetwornik ADS1610 10MSPS. Układ w czasie próbkowania pobiera ok....

    Układy Zasilające   14 Sty 2011 13:57 Odpowiedzi: 12    Wyświetleń: 5173
  • XM - scope 3, czyli Oscyloskop cyfrowy AVR - v3.0 BETA

    Kolego :arrow: drzasiek widzę, że nie zalałeś pola pod axtmega masą, oraz że masy zasilania i sygnałowe są dość cienkie - nie miałeś problemów z zakłóceniami albo łapaniem śmieci radiowych z otoczenia ?? Podejrzewam że uśredniasz albo i filtrujesz sygnał programowo dlatego uzyskałeś tak dobre wyniki, w ogóle program mi się bardzo podoba i gratuluję...

    DIY Warsztat   14 Sty 2023 01:11 Odpowiedzi: 159    Wyświetleń: 115706
  • Oscyloskop Hameg 204-2 zakłócenia w osi x.

    Witam. Nie chciałem zakładać nowego tematu ale nie znalazłem podobnego przypadku. Problem wygląda tak: po włączeniu oscyloskopu jakieś pół godz jest ok. tzn. pozioma linia jest idealna ale później dochodzą jakieś zakłócenia. I druga sprawa: które elementy schematu to tester komponentów? Też jest uszkodzony. Po przełączeniu na CT jest tylko plamka a...

    Warsztat elektronika   20 Gru 2011 23:54 Odpowiedzi: 18    Wyświetleń: 4305
  • Oscyloskop Tektronix 2225- zniekształcenia podstawy czasu

    Witam, posiadam sprzęt jak w temacie i następujący w nim problem.. Linia podstawy czasu od połowy ekranu w dół zniekształca się, dzieje się tak na 1 jak i na 2 kanale bez znaczenia jaki wybiorę zakres napięcia. Wrzuciłem wideo jak to wygląda. Jakość wideo troche słaba ze względu na słabe oświetlenie ale widać na czym polega mój problem. Zastanawiam...

    Warsztat elektronika   05 Lis 2012 18:16 Odpowiedzi: 15    Wyświetleń: 1728
  • Tektronix 463 - Dziwne przebiegi, nieznany stan oscyloskopu

    Witam, zakupiłem niedawno używany oscyloskop, Tektronix 463 z przystawką DM43, w stanie "jak na zdjęciach", czyli nieznanym. Dodam jeszcze, że jestem dośc początkującym użytkownikiem oscyloskopu (wcześniej jedynie miałem okazję używać cyfrowych na uczelni, więc w większości było to na zasadzie podłącz -> wciśnij "AUTO" -> zgraj na pendrive -> powtórz...

    Warsztat elektronika   09 Lip 2013 16:15 Odpowiedzi: 31    Wyświetleń: 6762
  • Oscyloskop / skopometr / generator

    Jak w temacie. Potrzeba mi zarówno oscyloskopu który można zabrać w teren (oglądałem przystawki USB), prace bardzo różne. Może raz w życiu podepnę się pod jakiś przemiennik częstotliwości, pewnie parę razy pod jakieś układy sterowania. Na pewno liczę się z diagnozą aut, na pewno układy audio i podobne. Pewnie kiedyś przyjdzie diagnozować jakąś linię...

    Warsztat co kupić?   16 Kwi 2015 17:57 Odpowiedzi: 5    Wyświetleń: 1839
  • Ładowanie bezprzewodowe - zakłócenia, budowa odbiornika.

    W komentarzach pod poprzednim materiałem o FM , odbiornika CB oraz słuchawki bluetooth a także telefonu nie przystosowanego do ładowania bezprzewodowego. DCF lub odbiornik poprawek DGPS . Przy prawidłowo działającej instalacji antenowej oraz elektrycznej pojazdu, zakłócenia pochodzące z ładowarki bezprzewodowej nie powinny być problemem dla typowych...

    Artykuły   11 Paź 2015 18:18 Odpowiedzi: 0    Wyświetleń: 4581
  • Wyświetlacz graficzny WG320240B0 przez LPT zakłócenia

    poniższy wpis wysłany wczoraj wieczorem jakimś cudem nie został zapisany przez serwer Elektrody, a może czekałeś na odpowiedź - właśnie odkryłem, przywracam i uzupełniam z kopii lokalnej zapisanej w cookie ] Nie ma za co, wiem jak to jest uganiać się za cieniem :). Ekranowanie można stwierdzić, otwierając obudowę wtyczki. Ale kabel nie jest jakiś specjalnie...

    Projektowanie Układów   08 Kwi 2016 18:51 Odpowiedzi: 7    Wyświetleń: 867
  • Jak podłączyć oscyloskop Mini 5 - ZDZ do sieci 230V ?

    Dziś rozebrałem oscyloskop ale wszystkie luty wyglądają dobrze i nie widać uszkodzonych elementów. Przełączałem wszystkie isostaty i potencjometry aby je rozruszać ale nic. Tylko jak kręcę tym co zaznaczyłem na zdjęciu czyli płynny regulator wzmocnienia to na ułamek sekundy wskakują fale na ekranie i później dalej linia prosta (podejrzewam że to zakłócenia...

    Początkujący Elektronicy   05 Lut 2017 01:16 Odpowiedzi: 13    Wyświetleń: 3765
  • Atmel, Sam7s - Zakłócenia lub brak komunikacja po RS232, kabel ekranowany

    Szczególnie tych podłaczonych do V+ i V-. To są magazyny energii dla pompy i ich zwiększenie na pewno nie zaszkodzi - szczególnie przy wiekszych prędkościach i pojemnościach linii. Dodano po 2 Potencjalnie przy zbyt małych pewnie napięcie na liniach sygnałowych będzie siadać? Będzi "dudnić" - mam gdzieś nagranie z oscyloskopu - jak znajdę to pokażę...

    Mikrokontrolery ARM   19 Maj 2016 11:19 Odpowiedzi: 6    Wyświetleń: 1011
  • Dlaczego w oscyloskopie Tektronix pojawia się taka linia i o czym to świadczy?

    O słabym filtrowaniu napięcia zasilającego albo wysokim poziomie zakłóceń EM w pomieszczeniu. Zewrzyj wejście do masy (oba przyciski COUPLING wyłączone) i zobacz co wtedy będzie.

    Warsztat co kupić?   11 Paź 2018 20:20 Odpowiedzi: 5    Wyświetleń: 492
  • [STM32] Zakłócenia z sieci energetycznej na linii CAN

    Podepnij sobie np. sondę do CAN-H, a masę sondy do CAN-L. Do tego uważaj z masami oscyloskopu...ale chyba wiadomo o czym mowa.

    Mikrokontrolery ARM   05 Cze 2019 17:47 Odpowiedzi: 29    Wyświetleń: 1689
  • Diagnostyka przetwornicy DC-DC z użyciem oscyloskopu

    Trzeba gniazdko z zegarem i niech DCF łapie w nocy, mniej wtedy zakłóceń w eterze ;-). Ale DCF odbiera mi idealnie. Po wyłączeniu (z gniazdka) ekspresu w ciągu 3 minut ustawia wszystko. Próbowałem i na tej samej linii zasilania, i na innej - to samo się dzieje (zakłócenia). Mam teraz duży filtr P/Z z zasilacza serwera HP - wtorek - środa będę próbował.

    Artykuły   07 Kwi 2022 08:51 Odpowiedzi: 24    Wyświetleń: 3087
  • zakłócenia na RS485/422 podczas pracy silnika komutatorowego

    witam, mam pewien problem polegający na tym że po liniach RS485 steruję urządzenie będące prostym sterownikiem silnika prądu stałego. Silnik jest komutatorowy 24V, pobiera prąd ok. 6 A podczas startu. Problem polega na tym że na linie transmisyjne wkradają się zakłócenia w postaci szpilek. Generuje to wartości 0x00 lub 0xFF na mojej "magistrali". Początkowo...

    DSP i Transmisja   24 Wrz 2022 20:50 Odpowiedzi: 15    Wyświetleń: 348
  • 8051 Bascom, I2c i zakłócenia

    Oglądałeś oscyloskopem sygnał na liniach I2C? Jak on wygląda? Jeśli zbocza są ostre to problem może tkwić w programie. Można też zmniejszyć rezystory podciągające linie SDA i SCL do plusa zasilania, jeśli sygnały narastają zbyt wolno. Jakie teraz stosujesz?

    Mikrokontrolery   29 Mar 2003 14:05 Odpowiedzi: 5    Wyświetleń: 1577
  • Oscyloskop DT5200, regulacja/ kalibracja...

    Po żmudnych poszukiwaniach przyczyny okazało się, że środek potencjometru równoważącego pracy różnicowej był zwarty na zawsze do +15V i to właśnie to powodowało to dziwne zachowanie. Ale dziwne by było, gdyby nie było nowego przoblemu, mianowicie przy pracy siekanej na podstawie czasu od 0,5ms-0,5s/cm i przemieszczeniu jednego z kanałów skrajnie w górę...

    Warsztat elektronika   04 Gru 2004 23:10 Odpowiedzi: 37    Wyświetleń: 8629
  • dopasowanie lini, a raczej brak

    Taaak ... Też liczyłem i wyszło mi 58 ... . Myślę, że takie liczenie na sucho może prowadzić do obciążenia wyniku końcowego dużym błędem . Proponuję Tobie zakup wektorowego analizatora firmy Rhode Schwarz , analizator spektrum firmy Agilent oraz generator sygnałowy , również firmy Rhode Schwarz i uzupełnić zestaw oscyloskopem cyfrowym . Jak już to będziesz...

    Telefony Stacjonarne Serwis   26 Paź 2005 18:54 Odpowiedzi: 7    Wyświetleń: 1118
  • UART AVR nie działa linia TX

    Te 16Mhz to najpewniej szum czy jakieś inne zakłócenia, nie zmierzysz zwykłym miernikiem prędkości uarta. Podłącz oscyloskop jeśli chcesz otrzymać wiarygodne dane i pomiary. Częstotliwość przy pracy w trybie master może być Fosc/2, ale w trybie Slave już tylko Fosc/4, dlatego ten Fosc/2 to troche przerost formy nad treścią...

    Mikrokontrolery   04 Lis 2006 19:46 Odpowiedzi: 7    Wyświetleń: 2123
  • Szybka i długa szyna danych 8051 (zakłócenia)

    /.../co 50 cm dałem wzmacniacze sygnału 74HCT245/.../ zamiast 245 powinienes dac pare: differential driver przy nadajniku i differential receiver przy odbiorniku i bedziesz mogl slac skretka sygnaly na 30 metrow; opis/data sheet takich nadajnikow i odbiornikow roznicowych bez trudu znajdziesz na stronach texas, national, itp; wysylasz 8 bitow danych...

    Mikrokontrolery   26 Sty 2008 17:14 Odpowiedzi: 20    Wyświetleń: 2098
  • problem z generatorem sinusa - zakłócenia

    Rozciągnij ten sinus na oscyloskopie i wzmocnij, żebyś widział tylko fragnent linii, a może zobaczysz co ci piszczy. Najlepiej na obciążonym wyjściu generatora.

    Audio Serwis   28 Mar 2008 15:04 Odpowiedzi: 2    Wyświetleń: 840
  • Zakłócenia (elektromagnetyczne) od procesora

    Witam Problem leży raczej po stronie PCB a nie konkretnego układu np warto wpisać w google coś w rodzaju : EMI PCB EMC PCB rezultaty z google : Warto 'podotykać' oscyloskopem linię zasilania procesora rezultaty mogą być zaskakujące. Ja dużej praktyki nie mam ,ale napiszę co wyczytałem o wymaganiach: 1. Duże pola masy 2. kondensatory filtrujące smd zaraz...

    Mikrokontrolery   07 Lip 2008 22:17 Odpowiedzi: 6    Wyświetleń: 1841
  • C1-94 potrzebna pomoc , gruba linia

    Problem rozwiązany. Nie miałem pomysłów na naprawę i chciałem odłożyć sprzęt na półkę. Po założeniu metalowej obudowy i podłączniu działa poprawnie. I jeszcze 5 razy zdejmowałem i zakładałem obudowę i bez niej przebiegi jak na zdjęciach - z obudową wszystko jak należy. Mój błąd że nie zaznaczyłem że ten efekt pojawił się jak oscyloskop popracował chwilę...

    Warsztat elektronika   10 Lip 2009 07:32 Odpowiedzi: 7    Wyświetleń: 2020
  • Wyzwalanie oscyloskopu cyfrowego Rigol

    Do ciasteczkowypotwor oczywiście masz rację, tylko ten czas poświaty jest b. krótki i przy szybkiej podstawie zobaczymy niewiele.Można trochę zobaczyć przed wyzwoleniem dzięki linii opóźniającej. Do 9ryszard napiszę po raz kolejny do przebiegów sporadycznych, czy jakiś zakłóceń na tle podstawowego przebiegu tryb Auto się nie nadaje. A w Normal lub Single...

    Warsztat elektronika   28 Wrz 2010 15:12 Odpowiedzi: 63    Wyświetleń: 8804
  • Jak określić parametry transmisji UART znając tylko przebieg stanów na lini RX

    >>> qczek określenie "dwa procki atmega" to slang bez wartości dla analizy tematu. Podaj jakie piny są użyte do tej transmisji. Oglądałeś przebiegi na oscyloskopie? Co to za analizator logiczny i jakie ma próbkowanie? Może sonda wprowadza zakłócenia przy pomiarze? Czy są kwarce i jakie przy tych "prockach"? Uart ma precyzyjne czasowo zbocza a tu są...

    Mikrokontrolery   12 Mar 2011 20:42 Odpowiedzi: 9    Wyświetleń: 2281
  • Procesor Attiny i zakłócenia - dziwne zachowanie

    Schemat mam stary, w czasie montażu nieznacznie pozmieniałem wartości elementów, dodałem kondensatorów parę. Z kondensatorami jednak nie przesadziłem. schemat: Jeżeli chodzi o płytkę, jak znajdę to wrzucę wersję starą. Program jest w 100 procentach prawidłowych, ustawienia fusebitów itd - program jest na tyle prosty, że żadnych błędów nie ma (chyba...

    Mikrokontrolery AVR   26 Wrz 2012 20:50 Odpowiedzi: 34    Wyświetleń: 6149
  • Sterownik LCD SDRAM FPGA - migający wyświetlacz, przesłuchy? zakłócenia?

    Musiałbyś podejrzeć oscyloskopem ale zapewne powstają na liniach masakryczne oscylacje którym to zawdzięczasz. Coś w tym jest, zaczynam podejrzewać linię PCLK (pixel clock) ponieważ po przyłożeniu doń sondy oscyloskopu zaczynam uzyskiwać obraz (dalej co jakiś czas pojawiają się czarne paski migające ale to już znacznie lepiej). Generalnie wszyystko...

    Projektowanie Układów   12 Lut 2016 16:10 Odpowiedzi: 4    Wyświetleń: 849
  • Philips PM3055 - Naprawa zasilacza w oscyloskopie

    Zupełnie nie tak. Po pierwsze jak wygląda schemat przetwornicy WN? Uszkodzenie przetwornicy WN może i zapewne powoduje falę wysokiej częstotliwości na linii zasilającej i to przenosi się na układ sterowania pierwotnego przetwornicy niskiego napięcia. Z całą pewnością warto zablokować zasilacz WN podłączając transil np. 1.5KE... Równolegle z zasilaniem...

    Warsztat elektronika   08 Cze 2017 17:40 Odpowiedzi: 34    Wyświetleń: 6063
  • Simson s50 - Zakłócenia w pracy mikrokontroera

    Witam Przerobiłem instalacje w Simsonie. Dodałem mikrokontroler Atmega 328 z bootloaderem Arduino który zarządza oświetleniem i pełni funkcje obrotomierza oraz prędkościomierza i dodatkowo potrafi zablokować zapłon. Do tego dołączyłem akcelerometr mpu 5060, pełniący funkcję automatycznego wyłączania migaczy. Moduł BT HC-05 pełni funkcje komunikacyjną...

    Motocykle, Motorowery   12 Lis 2017 12:54 Odpowiedzi: 8    Wyświetleń: 1206
  • Wybór impedancji wejściowej oscyloskopu: 1 MΩ vs 50 Ω - kiedy co używać?

    ->nie_pamietam Weź też pod uwagę że dyskusje o tym co jak zniekształca przebiegi są to moje subiektywne opinie z przypadków zaobserwowanych dosyć dawno temu, tego się nie da przegadać, to trzeba zobaczyć, zbudować generator na tranzystorze lawinowym i linii długiej i obserwować ne ns :) Oj, chyba nie da się zrobić sondy pasywnej 1:10 1MΩ do wejścia...

    Początkujący Elektronicy   28 Sty 2019 16:37 Odpowiedzi: 36    Wyświetleń: 2973
  • Zakłócenia transmisji SPI z MAX6675 i MCP3201 przy 700kHz-2MHz

    w tym rzecz że na oscyloskopie przebiegi są poprawne i znika cało to "zjawisko" w sytuacji kiedy podłączone na liniach są sondy, więc nic niepoprawnego tam nie widać. Natomiast co do wiszących w powietrzu linii CS... Kolego tego typu błędy nie wchodzą w rachubę :) MAX-a fizycznie wyciągam z całego układu i w ten sposób wykryłem co jest powodem tego...

    Mikrokontrolery ARM   23 Gru 2019 22:39 Odpowiedzi: 8    Wyświetleń: 420
  • Czy jest jakieś racjonalne uzasadnienie dla posiadania oscyloskopu analogowego?

    A analog jest w stanie wiarygodnie pokazać pojedyncze zakłócenie o amplitudzie mniejszej niż rozdzielczość ADC w dobrym oscyloskopie cyfrowym? Tak. Nie chodzi też o wiarygodne pokazanie (dokładne oddanie kształtu). Chodzi o sam fakt pokazania, że jakieś zakłócenie występuje. I gdy już wiemy że występuje, i gdzie, to możemy je dokładniej zmierzyć, choćby...

    Projektowanie i Tworzenie Po godzinach   27 Wrz 2021 18:38 Odpowiedzi: 52    Wyświetleń: 3477
  • Połączenie mas przy silniku krokowym - zakłócenia na zasilaniu

    Jak sam doszedłeś, problem występuje w chwili "przełączania" cewek silnika. Nie znam budowy tego sterownika (co jest w środku tego układu firmy Allegro). Sprawdź, czy masz na pewno elementy gaszące i usuwające piky. Problem pojawia się nawet, kiedy silnik jest podłączony, ale się nie kręci. Co ciekawe, szpilki się na linii 5V i 24V się zmniejszają...

    Robotyka Modelarstwo i CNC   10 Sty 2024 14:55 Odpowiedzi: 3    Wyświetleń: 129
  • Jak bezpiecznie używać oscyloskopu bez bolca PE w starym warsztacie?

    Jeśli zależy Ci wyłącznie na funkcji ograniczającej zakłócenia EMI (czyli bezpieczeństwie i prawidłowości funkcjonowania sprzętu) to połączenie "bolca" (i tylko bolca) w gniazdku z prętem wbitym w ziemię spełni tę funkcję. Nie spełni jednak funkcji ochrony przeciwporażeniowej. Z fizycznego punktu widzenia (ale nie z punktu widzenia dostępnych zabezpieczeń...

    Warsztat elektronika   28 Sty 2024 13:44 Odpowiedzi: 32    Wyświetleń: 789
  • LCD TV LG RZ-32LZ55 Nie można go włączyć manualnie i z pilota cisza

    Witam OPIS PROBLEMU Telewizor jest bardzo dziwny bo jednego dnia da się uruchomić i wszystko działa ok a następnego dnia klapa, nic ... Nie można go włączyć manualnie i z pilota nie reaguje na przycisk ani dioda led STY-BY świeci się na czerwono To co zrobiłem to wymieniłem kilka kondensatorów ele. na płycie głównej, poprawiłem luty na złączach. Jakieś...

    TV Początkujący   27 Kwi 2012 21:29 Odpowiedzi: 10    Wyświetleń: 13532
  • Testy zgodności EMC i pomiary przedcertyfikacyjne.

    Testy zgodności EMC i pomiary przedcertyfikacyjne przy projektowaniu urządzeń elektronicznych. Prawie każde urządzenie elektroniczne przeznaczone do użytku komercyjnego podlega testom kompatybilności elektromagnetycznej (EMC). Każde przedsiębiorstwo planujące sprzedaż swoich wyrobów w danym kraju musi zagwarantować, że produkt został przetestowany...

    Projektowanie Układów   29 Wrz 2017 16:01 Odpowiedzi: 16    Wyświetleń: 13410
  • Opel Vectra C 1.8 140KM - Falowanie silnika przy odpalaniu i błąd U0009

    Znowu odczyt jakimś kalkulatorem. Masz napisane ,problem z linią CAN (HS) zwarcie do + lub inne zakłócenia. Trzeba sprawdzić linię Bus HS a będzie potrzebny min miernik a najlepiej oscyloskop. I do tego najlepiej normalny tester. Pisać coś więcej czy już się poddajesz. :D

    Samochody Elektryka i elektronika   31 Mar 2022 23:13 Odpowiedzi: 3    Wyświetleń: 906
  • Szumy w przetwornikach Analogowo-Cyfrowych, część V

    W jednej z poprzednich części cyklu, dostępnej tutaj, poruszany był temat wpływu jakości napięcia zasilającego przetwornik analogowo-cyfrowy (ADC) na sygnał wyjściowy. Zdefiniowano tam podstawowe parametry jak PSRR i PSMR, opisujące wpływ linii zasilających na wyjście cyfrowe z przetwornika ADC. Wstęp Wiele z dzisiejszych nowoczesnych aplikacji przetworników...

    Artykuły   09 Mar 2014 23:02 Odpowiedzi: 0    Wyświetleń: 4212
  • Fałszywki - proszę przeczytać

    Pomiar oscyloskopem wyraźnie wykazał że tętnienia są nieznaczne.Jeżeli dobrze pamiętam wynosiły kilkaset mV.Mogę ponowić pomiar i wrzucić zdjęcie. Najmniejsze ustawienie to 0.01V/działkę , linia na ekranie ma grubość ok. 1/5 działki więc teoretycznie mogę określić z dokładnością do 0.002V nie licząc zakłóceń i dokładności samego oscyloskopu (według...

    Elementy Elektroniczne - zamienniki i identyfikacja   26 Mar 2024 23:50 Odpowiedzi: 1857    Wyświetleń: 353280
  • Kalibracja enkoderu z serwomotorem od tokarki CNC ze sterowaniem SIMODRIVE 611

    Cześć Koledze padł silnik w tokarce. Koszty naprawy niebotyczne - naprawiłem mu (mam nadzieję skutecznie) silnik usuwając przebicie w punkcie gwiazdowym uzwojeń. Nie musiałem nic grzebać w stojanie poza rozcięciem kilku sznureczków, zlutowaniem na nowo przewodów (druty osobno ocynowane/ skręcone oraz owinięte miedzianą plecionką) Całe połączenie zaizolowane...

    Automatyka Przemysłowa   27 Lis 2018 23:19 Odpowiedzi: 10    Wyświetleń: 2019
  • Atmega328P-PU na jednej PCB działa na drugiej nie (identyczne PCB)

    Nie sprawdzałem jeszcze kondensatorów 22pF, ale bez przesady... Nie wiem co jeszcze może być nie tak. Macie jakieś podobne doświadczenia? Wina laminatu? Komuś się kiedyś zdarzyło, że kondensatory 22pF powodowały nie działanie ATmegi? Potwierdzam, nieprawidłowo działające kondensatory 22pF mogą być przyczyną braku działania oscylatora kwarcowego. Miałem...

    Mikrokontrolery   30 Cze 2023 08:52 Odpowiedzi: 8    Wyświetleń: 393
  • Samsung CK623CN

    Dokładnie Samsung CK623CN3X/XEH ponadto na płycie pod trafem WN jest S51A AA41-10971D a IC201 to TDA8844 S1 2Y. Coś mi wchrzania się w impulsy H drive efektem czeko są czarne linie latające po ekranie i widać zakłócenia impulsów na oscyloskopie. Treść obrazu jest jakby nieco zrywało synchro V, natomiast mocno zrywa synchro V grafiki. W tym czasie chociaż...

    TV Serwis   24 Cze 2004 10:59 Odpowiedzi: 9    Wyświetleń: 3152
  • Philips 28PW 8506/12R usterka

    TV wyraźnie pokazuje , gdzie jest błąd , supply ,czyli zasilacz,buja się przetwornica i w zależności któremu modułowi brak mocy to na niego wskazuje , sprawdź zasilacz, jest to "kupa ", ale trzeba przebrnąć Philips to przerost ambicji nad możliwościami , i dla tego 95% uszkodzeń to zasilacz.Sprawdź np zasilanie procka, +B (jak się zmienia to wszystko...

    TV Serwis   28 Lip 2005 16:41 Odpowiedzi: 22    Wyświetleń: 3378
  • Zasilanie modułu CDI-zmiana sygnału wejsciowego

    Może oporność wejściowa układu jest bardzo mała i sygnał wejściowy zmniejsza się do poziomu tych lekkich zafalowań linii zerowej oscyloskopu. Szpilki zaś mogą powstawać jako sygnał wsteczny powstający na skutek działania układu wykonawczego dużej mocy. Nie są to sygnały sterujące, a jedynie zakłócenia przedostające się z wyjścia na wejście. Jeżeli mógłbyś...

    Początkujący Elektronicy   14 Wrz 2009 07:32 Odpowiedzi: 3    Wyświetleń: 4754
  • Regulacja gain w układzie tilt EQ - przed czy za filtrem?

    Samo zasilanie zrealizowane na transformatorze tzw. pcb, który "sieje" wiekszą ilością zniekształceń (zasilanie prawidłowe dla mnie to linia pozioma na oscyloskopie na stałym poziomie) niz transformatory toroidalne. Wybacz krytykę, ale piszesz niezrozumiale - może tylko ja nie rozumiem co chciałeś napisać np. w poście nr 25 ? - i w poprzednich ? Transformator...

    Początkujący Elektronicy   09 Lut 2020 19:40 Odpowiedzi: 34    Wyświetleń: 1299
  • Sterowanie siłownika blokującego drzwi przez proc.np.2051 ?!

    Nie wiem czy to normalne żeby procesor resetował się kilka razy dziennie. z powodu otwierania drzwi. Chyba że w Windows :wink: Może zamknąć płytkę z centralką do metalowego, uziemionego pudełka a na zasilaniu i liniach danych wstawić dławiki ? Ciekawe czy ktoś wyeliminował takie zakłócenia. Badałem układ a oscyloskopie: - przy oddzielnych układach (centrala...

    Samochody Elektryka i elektronika   10 Maj 2003 15:32 Odpowiedzi: 7    Wyświetleń: 1689
  • Inżynierski dobór filtrowania w torach zasilania

    Celem tego artykułu jest zaprezentowanie inżynierskich metod doboru filtrowania torów zasilania dla przetwornic DC/DC małej mocy. Na potrzeby artykułu wykonałem badania przetwornicy XP Power JTC0624S05. Jej podstawowe parametry to Vin 9-36VDC, Vout 5VDC, Iout 800mA. Aby zapewnić porównywalność pomiarów, w każdym przypadku napięcie zasilania wynosić...

    Artykuły   07 Lut 2018 11:02 Odpowiedzi: 31    Wyświetleń: 8991
  • atmega8 ds1307 - Program sie zawiesza przy odczycie (C)

    Hmm.. coś w tym może być. I nawet o tym myślałem, w kwestii ESD. Jednak procedura odczytywania I2C występuje po wygaszeniu wszystkich lamp. Stąd na filmiku charakterystyczne mruganie co jakiś czas. To właśnie było wygaszenie lamp by odczytać I2C. Spróbuję jeszcze może wydłużyć nieco okres od wygaszenia do odczytania I2C. Żeby przeczekać te skoki......

    Mikrokontrolery AVR   02 Sty 2016 17:48 Odpowiedzi: 36    Wyświetleń: 3507
  • RS485 - pytanie odnośnie podłączenia kolejnych urządzeń.

    Markosik20 może mieć rację. Częstym błędem jest niewłaściwe ustawienie dzielnika USARTu Atmegi (błąd zaokrąglenia, szczególnie ważny dla szybkich transmisji lub niskiej częstości użytego kwarcu - nawet w manualach są gorsze propozycje niż optymalne rozwiązanie, warto sprawdzić ręcznie dla sąsiednich wartości dzielnika, tzn. dla X będą to x-1 oraz x+1)....

    Mikrokontrolery AVR   21 Mar 2011 21:16 Odpowiedzi: 4    Wyświetleń: 1676
  • Organy Student 106 trzeszczące klawisze, regulacja i drobna modyfikacja

    Drobna naprawa organów Unitra Eltra Student 106. "Trzeszczące" klawisze lub cichy dźwięk spowodowany może być zwykłym brakiem kontaktu styków klawiszy. Nawet po latach "wąsy" styków wyglądają na czyste, ale to tylko pozory. Sprężyste "wąsy" oczyściłem bardzo drobnym wodnym papierem ściernym, środki chemiczne niezbyt dobrze sobie z tym radziły. Kompletny...

    Inne Serwis   11 Mar 2017 12:48 Odpowiedzi: 7    Wyświetleń: 7908
  • Przetwornica DC/DC do optoizolacji w magistrali RS485: Chińska mini 360 LM2596 czy alternatywa?

    Teraz takie pytanko, wiem że się nie powinno robić rozgałęzień od linii gł, ale jeśli by takie zrobić to ile cm/m jest dopuszczalne, ewentualnie czy istnieje jakieś zabezpieczenie od zakłóceń powstałych w takim połączeniu. Na każdym odgałęzieniu będzie niedopasowanie i odbicie fali, ale trzeba sobie zdawać sprawę o jakich czasach mówimy, czas propagacji...

    Układy Zasilające   21 Lis 2022 01:20 Odpowiedzi: 17    Wyświetleń: 879
  • ATmega328PB + PCINT od dwóch enkoderów - gubi impulsy enkoderów

    Cześć! Próbuję sobie wytłumaczyć dlaczego nie widzę poprawnych wartości pozycji silników określanych za pomocą dwóch enkoderów (silniki mogą niestety obracać się z różną-zbliżoną prędkością). Obsługa przerwania: Straszne zakłócenia zauważyłem na jednym z oscyloskopów, gdy oglądałem przebiegi przy komputerze na 2 kanałowym TDS202C to wynik był taki:...

    Programowanie   19 Lis 2021 10:42 Odpowiedzi: 25    Wyświetleń: 510
  • Schneider 17.1- za szeroki obraz

    dzięki za zainteresowanie,kondensatory sprawdzałem,cewki też,tranzystor na mierniku dobry,rezystory sprawdzone,zastanawia mnie jak pisałem wcześniej jakie powinny być impulsy EW,na oscyloskopie nic nie ma,nawet gdyby był uszkodzony tranzystor to jakieś impulsy powinny być a tu linia pozioma -na oscyloskopie oczywiście,jeszcze robiłem eksperyment z odłączeniem...

    TV Serwis   11 Mar 2007 00:22 Odpowiedzi: 7    Wyświetleń: 2027