Tak, dobrze. Polecam jednak czytać błędy, zwykle są tam pomocne informacje :wink: Error: (vsim-3033) E:/workspace_ALTERA/LDPC/main/U2_ZM_... Instantiation of 'lpm_mux' failed. The design unit was not found. To oznacza że nie może znaleźć modułu "lpm_mux". To jest biblioteczny moduł, więc dodaj biblioteke "lpm_ver"....
Również jeśli chodzi o FPGA to polecam VHDL'a.. Dosyć dobrą książką na start z VHDL'em jest "Język VHDL w praktyce" Józefa Kalisza. Natomiast alternatywnym do Xilinx'a środowiskiem do pisania i symulacji FPGA jest Altera ze swoim darmowym Quartus II Web Edition.
Mam do zrobienia symulację/testowanie projektu napisanego w VHDL. Chcę użyć do tego ModelSima Altery. Mój problem polega na tym, że nie wiem jak to zrobić. Tutoriale w języku angielskim wcale mi nie pomagają. Zasadniczo zacinam się przy "Simulate"->"Start Simulation". Wtedy wszystko się "loading" i dalej nic szczególnego się nie dzieje. A projekt to...
Witam Na stronie Altery znajdziesz sporo materiałów które pomogą Ci nauczyć się podstaw obsługi Quartusa. Poniżej link. http://altera.com/education/univ/materia... Pozdrawiam
Cóż, jedyny darmowy to Xilinx ISE Web Pack do układów Xilinxa i pakiet Quatrus do Altery. Tam można też robić symulacje. Ale muszę Cię uświadomić, że z FPGA i VHDLem nie jest tak jak z mikrokontrolerami. Przede wszystkim VHDL NIE jest językiem programowania, tylko opisu sprzętu :]
RAM wygenerowany w Quartusie jest inicjalizowany plikiem *.hex. Jeśli w folderze z symulacja nie ma tego pliku(albo nie pokazałeś narzędziu gdzie może szukać), w pamięci zostaną wartości X.
My na technice cyfrowej używamy oprogramowania Quartus, do układów altery no ale to ponad 1GB zajmuje.. Ale ogólnie całe oprogramowanie bardzo wszechstronne, posiada symulacje, mógłbyś się tym pobawić.
Miałem gdzieś pare kserówek z jakieś książki i dotyczyły implementacji des a AHDLu, wydaje mi się że tam było napisane że implementacja się zmieściła w jakimś układzie flex. A jeśli koledze zależy wyłącznie na symulacjach, to proponuje ActiveHDL.
jaką sugerujesz zmianę /.../ jesli chodzi o sam automat, ktory 'przelacza' odczyt i zapis, to zrobilbym to jakos tak: [syntax=verilog] module sram_read_write #( parameter ADR_W = 9, DAT_W = 8 ) ( input clk, input rst_n, input mcu_wr, input [ADR_W-1:0] mcu_adr, input [DAT_W-1:0] mcu_data, output reg [ADR_W-1:0] sram_adr, inout [DAT_W-1:0] sram_data,...
Używam Quartusa II Altery do symulacji. Dobry pomysł z 3 procesem zarządzającym tylko rejestrem przesuwającym, tak to chyba powinno działać, automat wyjściami steruje pozostałymi blokami. Masz może jakieś linki do bardziej zaawansowanych automatów? przykłady które widziałem były na tyle proste że ustawiały wyjście całego układu na podstawie 1 bitu wejściowego,...
witam, czy jest jakiś program który symuluje prace tej płytki ? korzystamy z niej na laborkach, chciałbym poćwiczyć w domu, no ale nie umiem znaleźć nigdzie na internecie symulatora takiej płytki. Chodzi mi o to żebym mógł sobie na ekranie kliknąć w przełączniki, i zobaczyć jak diody świecą. Dziekuje !
Witajcie, Mam pytanie do Was, czy ktoś z tutaj obecnych używał z powodzeniem generatora filtrów firmy Altera FIR Compiler? Otóż mam problem z projektem modulatora sigma - delta i właśnie ze stopniem filtru interpolacyjnego. Temat jest opisany tutaj: (przepraszam, że nie wklejam całości, ale tak wydawało mi się to bardziej sensowne) [url=http://www.alteraforum.com/forum/sh...
Dopiero się uczę/.../dlatego zadaję dużo pytań. jasne, po to jest elektroda ; Jeżeli dobrze zrozumiałem to: Przypisania blokujące powodują/.../ ok, Logiczne jest to, że chcę sprawdzać tą zmienną moze nie rozumiem pytania, chodzi ci o to, ze przypisujesz jakas funkcje logiczna? to nie ma zadnego znaczenia, wazna jest deklaracja jako reg, w bloku always...
właśnie wiem, wybrałem board z CII, bo z III to nic ciekawego nie ma... musi być altera bo potrzebuje go do magisterki, a mam ip cora który pod xilinxem działać nie che (jest to kod vhdl generowany z z sys c i jest beznadziejny, narzędzia syntezy gubią sie, tylko altera jako tako to przekłada poprawnie - symulacja - ale czy zadziała na fpga to nie wiem)...
Witam, Mam pytanie do znających narzędzie Quartus (Altery) Po wykonaniu projektu kompilacji i symulacji: Gdzie można znaleść informację o max szybkości pracy układu ? Pozdrawiam
Właśnie o to chodzi - układów jest zatrzęsienie więc który kupić do testów, programator na LPT - oki ale jaki (+ soft który go obsłuży) a co chcę dokładnie zrobić - nie wiem - po prostu nigdy ich nie używałem i nie wiem co można a czego się nie opłaca :) Hmm, zacznij od postaw, napisz coś w jakimś języku, do klasycznych PLD (np 16V8) bardzo wygodny...
Potrzebny Ci jest układ przynajmniej na 64/72 makrocelle, z reguły jedna makrocella -> jeden przerzutnik, z standardowych CPLD przetrzymanie wartości, przepchanie jej szeregowo i jakiś presclaler na zegar wyjściowy. Można kupić za parę miedziaków (5-6 zł). Najlepiej ściągnąć darmowy soft, zrobić opis/schemat, symulację czy odpowiada, przesyntezować...
Też polecam napisać testbench w dowolnym HDL, można mieszać między sobą Verilog <-> VHDL, jeśli komuś wygodnie napisać tb w Verilogu. Albo zaznajomić się z językiem skryptowym TCL i wymusić generację przebiegów, jak i całym procesem symulacji za pomocą poleceń ModelSim.
Witam, Zlecę zaprojektowanie układu opartego na FPGA (Altera) do tego symulacje w Quartusie. Zlecenie raczej pilne. Więcej szczegółów na priv lub mail. Do osób raczej z woj. mazowieckiego lub okolic. pozdrawiam mantis7(at)vp.pl
MAX to antyk, można nim tworzyć konfiguracje tylko dla starych układów więc od razu możesz sobie go darowć, ale jesli chcesz tylko sobie posymulować to może być, choć Quartus ma wspaniały symulator i tylko do symulacji to ja również wybrał bym Quartusa.
w duzym skrocie: quartus do wersji 10 file -> new -> 'Vector Wavefile' potem w prawym polu 'Name' 2xclick, pojawi sie okienko, click na 'Node Finder' i mozesz dodac I/O + dowolne sygnaly wewnetrzne, po dodaniu, za pomoca ikonek w oknie waveform mozesz 'narysowac' przebiegi wejsc; quartus wszystkie wersje musisz miec zainstalowane modelsim lub questasim...
hmm... kod z którym mam do czynienia ma 10 000 linii i jest wygenerowany z system C (możliwe że dodatkowo "opluskany"), sądzę że nie jest możliwe jego analizowanie/debugowanie. Co do przykładu jak ten set-reset jest używany to to jest po prostu sygnał który się nazywa np: vcu000024645 w procesie o nazwie vcp0245645 w jednostce o nazwie vcu00026724....
Proszę o podpowiedź czy układy CPLD/FPGA będą w stanie przetworzyć operacje matematyczne w kilku cyklach zegarowych (może nawet w jednym cyklu) ? Temat dotyczy sprzętowego (bardzo szybkiego) mnożenia liczb 64bit x 64bit a nawet 128bit x 128bit, Większość nowoczesnych układów FPGA ma wbudowane sprzętowe układy mnożące, tyle że one operują na liczbach...
Ściągnij ISE Web Pack ze strony Xilinxa (jeśli używasz CPLD/FPGA Xilinxa) , albo pakiet innego producenta (Altera - Quatrus II itp.). Tam możesz zrobić opisy VHDL / Verilgo układów, moduły testbench i symulacje :) Aha, te pakiety są darmowe :]
Od wersji 11.0 nie ma wbudowanego symulatora, trzeba dograć dodatkowe oprogramowanie, np: - ModelSim-Altera (ciężki i raczej nieporęczny) - Altera U.P. Simulator (osobiście używam i chwale sobie) W starszych wersjach Quartusa był wbudowany symulator, używałem kiedyś wersji 9.0 i też bardzo sobie chwaliłem (chyba najlepiej z tego wszystkiego to działało)
Czesc, Nie mam zielonego pojecia o AHDL i nie znajac jak dobry jest symulator tego jezyka ani co w zasadzie z niego bedzie w FPGA to strzelam :) A probowales zamienic if ... end if; na if .. else ostatnie przypisanie end if? Cos sie zmienilo? A tak apropo Altera dalej ma support dla tego jezyka i dalej go rozwijaja i poprawiaja symulacje i synteze czy...
Znaczy ogólnie musisz nieco zmienić myślenie, ja myślałem podobnie zaczynając przygodę z tymi cackami. A więc po kolei - VHDL to język opisu sprzętu, jest uniwersalny i w założeniu przenośny (tj. ten sam "kod mogę odpalić" na układzie firmy Lattice, a potem na układzie od Altery). Zatem ucząc się samego VHDL możesz mieć dowolny zestaw, bo jeśli np....
Próbowałem również ModelSim'a, jednak wszędzie symulacja jest tworzona na podstawie przebiegów, a chciałem się zorientować, czy ktoś wie coś na temat łopatologicznego symulatora/emulatora w stylu "klikam i zapala mi się dioda na rysunku płytki".
Elektryk nie jest to istotne (bez obrazy) czy zasymulujemy to sobie (np. w Max+plus II Altery - jest dostępny za darmo i moim zdaniem jest kompletnym i bardzo dobrym systemem dla układów programowalnych) czy jak już wszystko gra to załadujemy to w konkretną kość np. przez JTAG - żeby nie szukać programatorów i żeby było tanio (np. jakąś z rodziny MAX7000...
Dzięki za odpowiedź. Jeśli chodzi o podstawy to już piszę w VHDLu programy (narazie proste, ale zawsze ;) ) i zastanawiam się który układ lepiej kupić do dalszego "samorozwoju" bo jednak jak coś "pomruga" to zawsze milej się robi niż tylko oglądając przebiegi na symulacjach ;) W sumie chodzi głównie o to aby zakupiony układ był wystaczający do zrobienia...
enable 'odwrocone' http://obrazki.elektroda.pl/7035146200_1... symulator w Q9.1 bardzo poreczny do szybkiego sprawdzenia koncepcji, nie nadaje sie do symulacji dluzszej niz 30-40us. [symuluje netliste po kompilacji, a nie 'czysty' kod RTL wiec musi wielokrotnie wiecej liczyc] warto zainwestowac nieco czasu i nauczyc sie symulatora Modelsim-Altera,...
Witam Uczę się Veriloga, środowisko Altera Quartus II 13.1 wraz z Modelsim-Altera 10.1, mam problem z symulacją. Próbuję napisać generator sygnału VGA. Na początku mam dany sygnał 50MHz i chcę go dzielić na dwa otrzymując 25MHz: (fragment kodu z laboratoriów mojego wykładowcy) http://obrazki.elektroda.pl/3648728400_1... Obszedłem problem...
Znam akurat trochę Cyclone'y ale wypadłem nieco z obiegu bo siedzę ostatnio w sofcie... Jeśli chodzi o Quartusa to jak dla mnie alterowe środowisko wypada lepiej od Xilinxa, jest bardziej uporządkowane i spójne, dokumentacja też jakby bardziej czytelna. No i Altera daje chyba najbardziej profi symulator jakim jest ModelSim od Mentora. Ponadto ich softprocesor...
Ale po co chcesz robić gate level simulation? rozumiem, ze dla wprawy i nauki; i z ciekawosci jak to bedzie wygladac :); fotomh troche sie juz gubie, co ci dziala, co nie, i czy uruchamiasz symulacje ze skryptu, czy z gui; 'sdo' to plik z opoznieniami kazdego elementu w cyclonie, gdzies w pliku *.vo (gdzies na poczatku) powinno byc cos takiego: //...
Przypisanie do tmp jest wewnątrz procesu bo tak było w tutorialu który czytałem. Jak wyrzucę to poza proces to wszystko jest w porządku tj. symulacja pokrywa się z pracą układu. Co do symulacji to raczej jest behavioral. Nie jestem pewien, bo wczoraj pierwszy raz instalowałem ISE Webpack Xilinxa, dodałem testbench i po prostu kliknąłem 'run simulation'....
twoj kod nie przejdzie syntezy, uruchom quartusa i przeczytaj komunikaty o bledach; fpga to nie procesor; taka przykladowa wersja, nie dam glowy, ze nie ma jakiegos glupiego bledu, ale pokazuje jak zrobic to, czego oczekujesz: module tb(); reg reset, CE, clk; wire Q; initial begin reset = 1'b1; CE = 1'b1; #100 reset = 1'b0; end initial begin...
Zegar taki jest tylko w symulacji :) Dodano po 1 Przy próbie kompilacji (bo robię to w języku VHDL ale obiektowym) do ALTERY wyskakuje mi błąd. Zaznaczyłem połączenie które jest błędne. Przepraszam że tak brzydko zaznaczylem ale robiłem to w paincie.
Ok dzięki za szczegółowe wyjaśnienia teraz musze sie wziąźć do pracy. Czy Ty przypadkiem nie musisz jeszcze multipleksować takiego wyświetlacza? Na pewno jest tam tylko 8 linii na wyświetlacz (7 na segmenty + 1 na kropkę) + 8 dodatkowych na aktywujących wyświetlacze. Więc potrzeba Ci potrzebny jeszcze proces, który będzie przemiatać. Albo zrobić to...
g.d, przyjmij reke na zgode ... swieta w koncu :) przyznaje sie do 'splycania' tematu; Ojej, może nie jestem aż taki groźny, to było tylko w kwestii mojego wcześniejszego postu. Przyjmuję rekę na zgodę nie tylko na święta. :D ja przez cale swoje zawodowe zycie robie hardware i dlatego 'odruchowo' patrze na kod rtl z punktu widzenia tego, jak to zrealizuje...
Próbowałem resetowanie bez tego przerzutnika D, lecz wtedy zamiast resetować do 0, resetuje mi do 22, nie wiem czemu Dla mnie też jest to dziwne zwłaszcza że 22 ( 010110b ) sugeruje że nie wszystkie przerzutniki liczników zostały wyzerowane. Może problemy robi sam symulator. (at)2N3866 Jakieś sugestie? Nie mam lepszego pomysłu niż problem symulatora....
Co do użycia układu FPGA to jest wiele korzystnych aspektów, np: można osiągnąć wyższe taktowania - sam robiłem 8051 w VHDL to maksymalna częstotliwość wyniosła ok 80MHz w finalnej wersji bez optymalizacji przy symulacji na układzie Stratix. Dodatkowo popularna rodzina układów Cyclone II Altery daje użytkownikowi 300 pinów i więcej w zależności od modelu,...
Dzięki za wszelką pomoc. Wiem już jak szukać. Sprawdziłem i aż się zdziwiłem jak mało makroceli mój projekt wymaga. Kupno układu FPGA to ostatnia rzecz którą robisz podczas robienia takiego projektu. Najpierw napisz sobie cały projekt w VHDL lub Verilogu i przesymuluj w Modelsimie. W testbenchu możesz umieścic model pamięci SRAM oraz przetworniki DAC...
Jestem nowicjuszem jeśli chodzi o elektronikę. Chciałem się poradzić w sprawie stworzenia projektu prostego układu i otrzymania programu służącego do zaprogramowania układu firmy ALTERA. Mam wykorzystać do tego celu układ ALTERA (w tej chwili nie wiem jeszcze jaki dokładnie ma to być układ, chyba MAX 7xxxx) i środowisko Quartus II (mam wersje 7.0 ściągniętą...
Witam, Chce taktować Cyclone III z generatora zegara AD9516 (zegar 300 MHz): http://www.analog.com/en/clock-and-timin... Nie wiem jak zaterminować linię. Altera pokazuje tak: http://obrazki.elektroda.net/53_12315449... a Analog Devices tak: http://obrazki.elektroda.net/78_12315448...
Ten kawałek kodu ktory załączyłeś w pliku *.rar ma źle zrobioną synchronizację pomiędzy domenami. Do sygnału STROBE_old przypisujesz wartość z portu wejściowego STROBE a następnie sprawdzasz w warunku STROBE_old(1 downto 0) = "01". Tak się nie robi ze względu na metastabilności sygnałów. Każdy sygnał wejściowy musi zostać zsynchronizowany. elsif rising_edge(CLK_140MHz)...
Dodam jeszcze - aktualnie jest bardzo mała różnica między dostawcami układów, zaczyna się to bardzo zacierać w porównaniu do tego co było kiedyś (mam na myśli rodziny do Cyclone III albo Spartan-3). Od rodzin Spartan-6 oraz Cyclone IV nie ma tak widocznych różnic, natomiast układy SoC: Xilinxa ZYNQ oraz Altery Cyclone V SoC to są prawie kopie. Akceleratory...
Nie rozumiem tego za bardzo:( ja korzystam z pakietu ACTIVE-HDL (firmy ALDEC). W jakim oprogramowaniu pracujecie ? I na czym polega dokladnie ta symulacja ? Gdzie mógłbym znaleźć informacje na ten temat ? Z góry dziękuję za pomoc. bo to juz nie jest symulacja, to cos jakbys mial logic analyzer w fpga. Takze robisz capture prawdziwych sygnalow ktore...
malo prawdopodobne, masz przyklad takiego kodu ? co to znaczy:" kod napisany w ISE" , kod jest w vhdl albo w verilogu i tyle, oba narzedzia syntetyzuja jakis podzbior legalnych linijek w tych jezykach i te podzbiory sa z pewnoscia tozsame w 99%; co do stabilnosci ise, mozna poczytac archiwum elektrody, gdzie co rusz sa porady typu: odinstaluj wersje...
Dzięki za komentarze. Wolałbym też mieć przed sobą jakiś prosty moduł i zacząć obserwować rezultaty programowania w praktyce a nie analizować symulację. Dla mnie jest to bardziej motywujące i popychające do przodu. Nie potrzebuję, żadnego rozbudowanego zestawu uruchomieniowego. Wystarczy sam FPGA oraz układy potrzebne do jego uruchomienia i czyste złącza....
Witam Chciałbym się podzielić swoimi spostrzeżeniami. Swego czasu zawodowo pracowałem na układach Xilinx-a. Obecnie zawodowo mam do czynienia z układami Altery (przy czym zawodowo już nie od strony programowania), a "hobbystycznie" dłubię Alterę. W kwestii zasobów i funkcjonalności Xilinx i Altera jest podobna (chociaż ostatnich Spartanów 6 nie znam...
Dziękuje (i stawiam "flaszkę" :) ). Czy to jest pełen kod (w pełni działający) ? Zaraz go sprawdzę (spróbuje zrobić symulację). Pytam się, żeby się upewnić (w końcu VHDL to standard, a więc to co działa z Xilinx powinno ruszyć pod Alterą, tak myślę). Gdzie znajdę opis poszczególnych komend VHDL ? Poszukam oczywiście sam, ale może znasz dobre źródło....
Jak programuje się takie CLPD? Rysuje się logikę z bramek czy jakoś opisuje? Można tak i wychodzi dośc dobrze. Rysujesz schemat z gotowych układów 74xx i bramek, przerzutników, itp. Później symulacja. Jak symulacja ok, wgrywasz (BitBlaster to chyba się nazywa, cena ok 60zł, albo, jak masz LPT, kilka rezystorów) i musi działać. Możesz podrzucić jakieś...
Polecam sprawdzić działanie kodu w jakimś symulatorze np. ModelSim (dodawany do Quartusa II Altery) albo ISIM (w pakiecie Xilinxa) Kod wygląda na poprawny (tyle że ma dwa sygnały "zegarowe"). Przy takim małym projekcie to nie ma tak dużego znaczenia, ale lepiej oszczędzać linie zegarowe w układzie FPGA (bo jak będziesz robił jakiś większy projekt -...
Mariusz, Czekam na licencje od Aldec'a bo po zainstalowaniu Activa na ewalce to moge sobie przesymulowac pare przerzutnikow przed synteza. Jest wbudowane ograniczenie, ze symulator moze zaalokowac maksymalnie 5MB na symulacje a jak sprobowalem ten przyklad to jest 5.3MB hehehe i to bez ladowania sdf'a. Cialem go do czegos mniejszego ale bez pelnej licencji...
Myślę, że tan analizator będzie niewystarczający. Chodzi o to, że filtr jest tylko częścią większego systemu. Poza tym wiem, iż działa poprawnie, chcę mieć możliwość sprawdzania odpowiedzi (docelowo całego systemu) na wejście, a najwygodniej byłoby to zrobić z użyciem Matlaba. DSP Builder z tego co spojrzałem służy do generowania np kodu VHDL na podstawie...
Czesc, W vhdl'u typ real jest raczej do symulacji i synteza nie zrozumie go aczkolwiek nowy standard vhdl 2008 zdefiniowal dwa nowe pakiety ktore definiuja zmiennoprzecinkowa arytmetyke dla syntezatorow. Nie wiem tylko czy wspomaganie dla tych pakietow jest wbudowane w najnowsze XST czy Quartus. Jednym z rozwiazan tego problemu byloby przejscie na stalo...
Oprogramowanie do projektowania układów elektronicznych Niniejszy artykuł jest próbą wprowadzenia do wspaniałego świata programów do projektowania układów elektronicznych. Do utworzenia tej listy wziąłem pod uwagę wiele kryteriów. Są tutaj programy darmowe i komercyjne, shareware, a większość z nich dotyczy jakości oprogramowania, przyjazności użytkownikowi,...
Witaj! Dość jednostronnie będę Ci polecać Active-HDL. Ma fantastyczny support do WSZYSTKICH ważnch narzędzi syntezująco implementujacych (również fizycznej iplementacji - Physical synthesis ale to chyba do Asic-ów): Libero, Quartus , ISE, Synplify i inne. Wsparcie jest tak dobre, że wspomnianych programów nie trzeba ręcznie uruchamiać. "Nauka" innych...
Jak na razie na najbardziej sensowne wyglądają rozwiązania: kilka AD9226 + FPGA + STM32 (a może "malinka"? STM32 jest albo niewiele tańszy, ale z dużo mniejszymi możliwościami komunikacji i przetwarzania, albo droższy od najtańszej "malinki"); albo kilka LPC4370 + cokolwiek na magazyn zebranych danych (one mają nawet Ethernet). Dla mnie FPGA ma tę...
Witam wszystkich. Firma, w której pracuje chce zorganizować kurs dotyczący wykorzystania układów programowalnych FPGA. W ramach kilkudniowego kursu odbyłoby się wprowadzenie w temat układów programowalnych, przedstawienie układów kilku firm, opis zasady działania/budowy wybranych układów, Laboratoria na których realizowane byłyby przykładowe możliwości,...
Dodano po 1 Wielu funkcji jednak nie da się wykonać w FPGA, daj przyklad bo ja nie znam takiej funkcji :P dobra, wyraziłem się trochę nieprecyzyjnie, choć cytat wyrwany jest z kontekstu. Może przykłady nie są zbyt trafne, ale np: jak na razie pamięci (szczególnie DRAM), zegara RTC (z potrzymaniem bat.), obwodów analogowych (oczywiście można przetwarzac...
Szukam jakiejs plytki na ktorej moglby sie dalej uczyc VHDLa i Veriloga nie tylko przy symulacjach. Dodatkowo powiem ze chcialbym cos so moze mi sie przydac do pracy dyplomowej: Stworzenie syntezowalnego kodu up 80251 w jezyku VHDL. Mam mozliwosc zakupu tej plytki za 450zl. Czy oplaca sie? Czy moze za ta cene mozna kupic cos sensowniejszego? Jedna wersja...
Czesc, Ad1. Teoretycznie plik powinien byc otwarty przy pierwszym wejsciu do procesu i zamkniety na koniec symulacji ale jak jest dla activa to nie sprawdzalem. Mozesz sie "wstepowac" w kod i zobaczyc czy jak wyjdziesz do czasu gdzie zegar jest '1' to mozesz na dysku skasowac plik czy nie. jak nie mozesz to znaczy, ze symulator dalej trzyma go otwartego...
Moze z innej beczki. Mam główny licznik CYCLE_CNTR SUBDESIGN test5 ( CLK : INPUT; -- 20MHz START_SAMPLE : INPUT; COUNT_ADC_OUT[7..0] : OUTPUT; CYCLES_DELAY_OUT[7..0] : OUTPUT; SAMPLE : OUTPUT; CLOCK_DIV_TEMP[7..0] : OUTPUT; ) VARIABLE CLOCK_DIV[7..0] : DFF; CYCLES_DELAY[7..0]...
Zatwardziały windziarz (kilka latek), też przeszedł na Linux'a i to już definitywnie nie ma odwrotu, to jest jak narkotyk :D Nie ma lepszej platformy do developing'u, hardware czy software. 1. IDE Mam jedno środowisko, ale do wszystkiego. Jest to Eclipse Classic (obecnie Indigo) z masą pluginów. IDE strasznie intuicyjne i podatne na modyfikacje. Nic...
W takim razie będzie poprawnie jak zapiszę: calk:=31*ic; -- 1570*(20u*1000)=31.4 (obcinam część dziesiętną) uctt:=uctt+calk; uct:=uctt/1000; uc<=conv_std_logic_vector(uct,12&... Bo coś mi się wykres nie podoba jaki wyszedł podczas symulacji.. Mam obwód równległy RC - zasilany dwupołówkowo (sinus wyprostowany mostkiem...
https://obrazki.elektroda.pl/9764138600_... W ofercie firmy Evatronix SA, posiadającej wieloletnie doświadczenie w sprzedaży profesjonalnych narzędzi inżynierskich dla elektroników i mechaników, pojawiło się oprogramowanie do projektowania obwodów drukowanych PULSONIX firmy West Dev Ltd. Jest to intuicyjne oprogramowanie oferujące...
Jeśli chodzi o symulację części cyfrowej to dotyczy to tylko układu FPGA, a to jest narzędzie wbudowane w ISE i trzeba sobie napisać test-casy. I jest to zapewne Modelsim robiony przez Mentor Graphics. Ten symulator HDL (VHDL, Verilog i inne) jest rozpowszechniany przez Altere, Xilinksa, Actela razem z ich pakietami i bibliotekami symulujacymi makra...
Witam! Miałem na studiach pokrótce omówioną tematykę CPLD, FPGA, mikrokontrolerów, etc... (tylko 2-3 wykłady). Niestety niewiele z tego pamiętam i chciałbym sobie odświeżyć wiadomości, poznać szerzej tą tematykę, a docelowo zaprogramować i zmontować kilka układów (tylko na własne cele). Interesują mnie układy przetwarzające niewielką ilość danych, jak...
https://obrazki.elektroda.pl/4608942800_... W tym artykule opiszę projekt oraz konstrukcję testera układów FPGA własnego pomysłu, do którego budowy zostałem zmuszony przez Chińczyków, bo w ostatnio zamówionej paczce od nich co trzeci scalak był wadliwy. Tester po wciśnięciu jednego przycisku dogłębnie sprawdzi włożony układ. Będzie...
Witam! Mam przyjemność poinformować, że Firma TRUMPF Huettinger Sp. z o.o. poszukuje pracowników na stanowisko Programista układów FPGA TRUMPF Huettinger jest światowym liderem w produkcji zasilaczy do procesów plazmowych, wzbudzania laserów CO2, oraz aplikacji nagrzewania indukcyjnego. W procesach plazmowych wykonywane są półprzewodniki, szkło architektoniczne,...
Na poniższym obrazku pokazany jest schemat kompletnego izolowanego systemu do pomiaru prądu dedykowanego między innymi do aplikacji fotowoltaicznych. Proponowany układ charakteryzuje się wysoką niezawodnością i może zostać umieszczony blisko rezystora pomiarowego w systemie. Dzięki izolacji galwanicznej możliwe jest bezpośrednie podłączenie wyjścia...
https://obrazki.elektroda.pl/2966478500_... Firma Epiq Solutions wprowadziła właśnie do sprzedaży swój nowy moduł radia definiowanego programowo (SDR) - Sidekiq Z2. Moduł ten ma wymiary zaledwie 51 mm x 30 mm, ale na pokładzie ma bardzo potężny układ Xilinxa: Zynq-7010 praz zintegrowany transceiver RF AD9364 od Analog Devices. Pracuje...
Witam Wszystkich! Firma SSBV Polska Sp. z o.o. ma przyjemność poinformować, że poszukuje pracowników na stanowisko programista układów FPGA . SSBV Polska Sp. z o.o. wchodzi w skład grupy SSBV Aerospace&Technology Group z siedzibą w Holandii. Koncentruje się na nanosatelitach, obserwacji Ziemi, komunikacji satelitarnej oraz testowaniu komponentów. Korzystając...
Witam! Jak to zrobić aby z poziomu quartusa wywołać symulator modelsim, by wykonał symulację? mam 2 takie kody: dla testbench module simulation(); reg clock1; reg clock2; wire C_w; test UUT(.A(clock1), .B(clock2), .C(C_w)); initial begin clock1 = 0; clock2 = 0; end always #70 clock1 <= ~clock1; always #105...
altera schemat altera generator altera sdram
halogen zamiast żarówki wymiana śmigła sharp zmieniać
Toyota RAV4 – brak wyświetlania przebiegu po ustawieniu TISP, licznik nie pokazuje kilometrów Samsung F8000 (EF8000) TV Board Schematics: Service Manuals, BN44, BN94, Access Tips