downtown schemat

Znaleziono około 28 wyników dla: downtown schemat
  • Spis schematów i instrukcji

    MOTOROWERY I MOTOCYKLE APRILIA BAOTIAN BMW CAGIVA CPI DNIEPR HARLEY HONDA ITALJET IŻ JAWA - CZ JUNAK KAWASAKI KTM KYMCO MACAL MALAGUTI MIŃSK MZ - ETZ PEUGEOT PIAGGIO ROMET, OGAR, KOMAR, MOTORYNKA (polskie) SIMSON STELLA SUZUKI SYM TRIUMPH WFM WSK VESPA YAMAHA [url=http://www.elektroda.pl/rtvforum/vi... YZFR1L schematy...

    Motocykle, Motorowery   13 Mar 2015 06:05 Odpowiedzi: 0    Wyświetleń: 82998
  • REKLAMA
  • Jak zacząć projekt w VHDL? - Etapy tworzenia konwertera

    A nie chcesz samemu tego napisać? To jest prosty projekt, dobry do nauki. Po pierwsze musisz zdefiniować wejścia/wyjścia układu, na podstawie schematu: [syntax=vhdl] library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity praca1 is Port( Clk : in std_logic; EN : in std_logic; row : out std_logic_vector(7 downto 0) := "10000000" ); end entity praca1; [/syntax]...

    Programowalne układy logiczne   09 Lut 2021 17:50 Odpowiedzi: 5    Wyświetleń: 714
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Jak stworzyć schemat kompresora danych z dynamicznym kodowaniem Huffmana w VHDL?

    Chcę zeby funkcja posortowała mi w moim koderze huffmana prawdopodobieństwa od najmniejszego do największego tymczasem funkcja wyrzuca mi tylko największą wartość.Jakieś pomysły? library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.MATH_REAL.ALL; use ieee.numeric_std.all; entity median_module...

    Programowalne układy logiczne   25 Gru 2011 17:16 Odpowiedzi: 10    Wyświetleń: 2839
  • Dlaczego VHDL (EPM240T100) jest lepsze od rysowania schematów?

    Czyli ma to wyglądać mniej więcej tak: [syntax=vhdl]LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.std_logic_unsigned.all; LIBRARY work; ENTITY blink IS port ( Q : out std_logic_vector (24 downto 0); -- wyjście 25 bitowe clk : in std_logic -- wejście zegarowe ); END blink; ARCHITECTURE bdf_type OF blink IS signal c : std_logic_vector(24 downto...

    Programowalne układy logiczne   18 Paź 2020 20:06 Odpowiedzi: 19    Wyświetleń: 1293
  • REKLAMA
  • Jak przepisać kod z GAL20V10 do Xilinx 9536XL dla Atari ST?

    Witam, Proszę bardzo, kod przepisany do VHDLa. Zapodaj schemat lub przypisanie sygnałów do numerów pinów XC9536XL to wygeneruję plik do programowania. Pozdrawiam JarekC ----------------------------------------... -- Company: Forum Elektroda -- Engineer: JarekC -- -- Create Date: 19:51:03 06/16/2010...

    Programowalne układy logiczne   20 Cze 2010 13:55 Odpowiedzi: 7    Wyświetleń: 1999
  • REKLAMA
  • Generator liczb pseudolosowych VHDL - błędy w schemacie przerzutników

    Witam Mój problem polega na tym że napisałem kod w vhdl na generator liczb psedulosowych (Rejestr przesuwający z liniowym sprzężeniem zwrotnym) I próbuję do niego teraz narysować schemat na przerzutnikach (zdjęcie poniżej), jednak podobno jest on zły, ale jak patrzę w ten kod to nie widzę błędu Kod: https://obrazki.elektroda.pl/2304043600_...

    Programowalne układy logiczne   20 Sty 2018 21:29 Odpowiedzi: 5    Wyświetleń: 1854
  • VHDL - Sumator-akumulator szybko potrzebne wsparcie

    Kod mial byc wg tego zalaczonego w pierwszym poscie schematu. Rzeczywiscie zmienilem mux z asynchronicznego na synchroniczny, ale i tak i tak juz testowalem i nie dziala w zadnym przypadku. Tutaj podaje kod z test bench, aczkolwiek dopiero 1 raz go utworzylem. Wiem, ze trzeba w nim cos pozmieniac zeby byly wpisane dane, ale tego nie umiem. Wczesniejsze...

    Programowalne układy logiczne   08 Paź 2012 06:47 Odpowiedzi: 23    Wyświetleń: 3231
  • [cpld][vhdl] - 1szy projekt - Licznik do adresowania pamięci ROM

    Cześć! Po wielu nieudanych podejściach do stworzenia pierwszego poważniejszego projektu samemu postanowiłem zasięgnąć porady na elektrodzie. Zupełnie pierwsze kroki mam już za sobą (na 704-K Xilinx starter kit) - udało mi się rozrysować schemat, który zsyntetyzował się poprawnie ("migacz" diodą w zależności od naciśniętego przycisku). Poważniejszym...

    Programowalne układy logiczne   16 Lis 2015 23:55 Odpowiedzi: 7    Wyświetleń: 2763
  • Wie ktoś jak można sprawdzić szybkość działania algorytmu.

    Nie wiem czy o coś takiego Ci chodzi: http://obrazki.elektroda.net/80_12820467... Tutaj masz testbencha, które dodajesz do projektu, PPM :arrow: Add Copy of Source , powinien sam się podłączyć, bo ma tą samą deklarację: LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; --zawiera pożyteczną funkcję CON_STD_LOGIC_VECTOR(Int,...

    Programowalne układy logiczne   18 Sie 2010 11:56 Odpowiedzi: 11    Wyświetleń: 3012
  • Generator sygnalu 3 fazowego - trudne poczatki VHDL

    _geris_ czyli powinienem dorobic dwa procesy opisujące faze 2 i faze3. Przyznam ze nie rozumiem tego tamplate zaproponowanego przez J.A wygląda to mi na to ze w jednym stanie (np S0) miałbym opisać co sie dzieje ze wszystkimi 3 adresami i w zależności od tego przechodzić do jakiś substanów (sx1, sx2...)? Drugi proces jak mniema miałby opisywać przypisanie...

    Programowalne układy logiczne   20 Sty 2009 22:58 Odpowiedzi: 15    Wyświetleń: 4015
  • Licznik modulo 10 na CPLD nie zlicza impulsów, zawsze wyświetla zero – analiza kodu

    Witam, Mam problem z działaniem licznika modulo 10. Oto kod programu: entity mod10 is Port ( C : inout STD_LOGIC; R : inout STD_LOGIC; Q : out STD_LOGIC_VECTOR (3 downto 0)); end mod10; architecture Behavioral of mod10 is begin process(C,R) variable cnt : std_logic (3 downto 0); begin if R='1' then cnt:="0000";...

    Programowalne układy logiczne   19 Sty 2010 21:02 Odpowiedzi: 5    Wyświetleń: 1905
  • Błąd operatora + w VHDL przy skanowaniu matrycy klawiszowej

    Witam, Mam do wykonania projekt - układ skanujący matrycę klawiszową. Jak do tej pory udało mi się wyskrobać kod programu umieszczonego poniżej. Niestety kompilator wskazuje błąd : Error (10327): VHDL error at ADR.vhd(64): can't determine definition of operator ""+"" -- found 0 possible definitions Nie wiem...

    Programowalne układy logiczne   08 Maj 2014 16:16 Odpowiedzi: 2    Wyświetleń: 2668
  • Xilinx XC9536XL, Stoper w VHDL - optymalizacja kodu

    mam pewien problem odnośnie przycisków.... napisałem prosty program: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all;...

    Mikrokontrolery   29 Gru 2009 20:49 Odpowiedzi: 5    Wyświetleń: 5132
  • REKLAMA
  • [TP] Wyszukiwanie w pliku oraz sortowanie bąbelkowe

    Polecał bym sortowanie bąbelkowe według schematu for j := ile-1 downto 1 do for i:=1 to j do if a[i] > a[i+1] then przestaw(a[i], a[i+1]); gdzie zadeklarowana tablica ma postać var a: Array Dla typu rekordowego sam musisz napisać procedurę przestaw, zamieniającą poszczególne pola rekordów miejscami...

    Programowanie Początkujący   17 Cze 2010 16:22 Odpowiedzi: 4    Wyświetleń: 1746
  • Błąd is assigned but never used w sterowniku LED - jak go naprawić?

    Witam Mam do napisania projekt pt: "Sterownik 4cyfrowego wyswietlacza LED z regulacja jasnosci swiecenia". Poziomy jasności świecenia mam uzyskać poprzez zmianę współczynnika wypełnienia. Napisałem program, który moim zdaniem spełnie wymagania projektowe, jednak podczas implementacji wyskakuje błąd o treści: "is assigned but never used:, dla sygnałów:...

    Programowalne układy logiczne   05 Cze 2007 23:17 Odpowiedzi: 1    Wyświetleń: 1863
  • Jak poprawnie zasymulować rejestr PISO w VHDL w ISE 9.2?

    A który kod symulujesz: ten if reset = '1' then tmp <= "0000"; wy <= '0'; elsif clk'event and clk = '1' then if enable = '1' then tmp <= we; else tmp <= tmp ( 2 downto 0 ) & '0'; end if; wy <= tmp(3); end if; czy ten if reset = '1' then tmp <= "0000"; elsif clk'event and clk = '1' then if enable...

    Programowalne układy logiczne   02 Paź 2009 10:52 Odpowiedzi: 12    Wyświetleń: 2279
  • VHDL - realizacja +/- na std_logic_vector (z przyciskami)

    Mam problem ze zrealizowaniem jednej funkcji. Jest to część projektu na uczelni. Projekt to obsługa silnika krokowego. Na chwile obecna silnik chodzi. Zmieniam kierunek obrotu i mogę jeszcze wybierać między pracą pełno-krokową o pół-krokową. Chciałem jeszcze zrealizować wykonanie zadanej liczby kroków, ale idzie mi to jakoś opornie. Nawiasem mówiąc...

    Programowalne układy logiczne   10 Paź 2007 12:00 Odpowiedzi: 18    Wyświetleń: 3886
  • Jak wykonać operację a <= a + b w procesie synchronicznym VHDL?

    Witam, przepisałem moduł od nowa wyrzucając wykrywanie przekroczenia wartości próbek do schematu. Poniżej kod który działa na Spartanie 3 AN 700 nawet przy zegarze 50 MHz. U mnie będzie pracował przy 10 MHz, więc mam nadzieję że tym bardziej będzie dobrze liczył. ----------------------------------------... -- Company:...

    Programowalne układy logiczne   02 Mar 2011 12:16 Odpowiedzi: 16    Wyświetleń: 2322
  • FPGA Altium Designer 09 - Błąd NgdBuild:604 przy wgrywaniu komponentu

    To jest ten kod: ----------------------------------------... -- VHDL moj_projekt_no1 -- 2010 7 27 14 57 33 -- Created By "Altium Designer VHDL Generator" -- "Copyright (c) 2002-2004 Altium Limited" ----------------------------------------... ----------------------------------------... -- VHDL moj_projekt_no1...

    Programowalne układy logiczne   29 Lip 2010 13:08 Odpowiedzi: 8    Wyświetleń: 2583
  • Zadania z Pascala: obliczanie wielomianu i zarządzanie listą filmów DVD

    Okey, wiem, że muszę skorzystać ze schematu Hornera. coś tam mam już napisane ale nie wiem jak i gdzie wprowadzić, aby rysował wykres. w sumie to i tak nie wiem czy dobrze mam coś takiego. Program wielomian; Uses crt; var wartosc,x:real; wsp:array[0..5]of real; n,k:integer; begin for k:=0 to 5 do begin wsp[k]:=3;...

    Programowanie Początkujący   06 Lis 2009 17:07 Odpowiedzi: 4    Wyświetleń: 1819
  • Model sterowania silnikiem krokowym w VHDL-u - pętla FOR i process

    Witam! Muszę na jeden z projektów oddać model sterowania silnikiem krokowym w VHDL-u. Zrobiłem płytkę według schematu (w załączniku) płytka będzie wtykana w złącze na zestawie dydaktycznym ze SPARTAN-em. Napisałem do tego program narazie prosty, po naciśnięciu jednego przycisku silnik ma wykonać pełen obrót w lewo a po naciśnięciu drugiego to samo tylko,...

    Mikrokontrolery   24 Sty 2005 14:39 Odpowiedzi: 4    Wyświetleń: 3024
  • Kardridż do Atari 65XE (o_o)

    https://obrazki.elektroda.pl/7407652600_... Dziś opiszę proces stworzenia programowalnego kardridża do komputera Atari 65XE. To jeden z tych projektów, które od zawsze chodziły mi po głowie ale nigdy nie miałem samozaparcia przysiąść i zgłębić temat tego komputera. No to teraz przyszła najlepsza okazja: pomysł powstał przy okazji naprawy...

    DIY Konstrukcje   14 Kwi 2018 15:50 Odpowiedzi: 3    Wyświetleń: 9930
  • Jak działa program w Bascom z adresem &H4000 i układem 74LS373?

    Witam Mam prośbę czy mógłby mi ktoś wytłumaczyć jak działa ten program: Pytania: Skąd sie wzięła ta liczb &H4000(Adres = &H4000) może ma ktoś jakiegoś pdfa opisującego układ memory? - reszte z bascoma rozumie Jeśli chodzi o schemat z proteusa to z niego nic nie rozumie. Po co jest ta bramka and? Co robi ten 74ls373? $crystal = 11059200 $regfile = "reg51.DAT"...

    Mikrokontrolery   09 Cze 2009 19:11 Odpowiedzi: 3    Wyświetleń: 1419
  • Jak połączyć licznik 16-bitowy z multiplekserem w Webpack ISE?

    jednak coś skopałem w tym moim MUX12to1 symbol się wygenerował i mogę dać go do schematu, ale wywala błąd przy kompilacji że : ERROR:HDLParsers:812 - "E:/CPLD/avt2875/test1/mux12_1.... Line 40. A value is missing in case. czyli jakby nie działały linie wyboru sel0 do sel3 linia 40 to : when "1011" => bitout <=...

    Programowalne układy logiczne   15 Lis 2010 18:59 Odpowiedzi: 92    Wyświetleń: 9631
  • Jak zadeklarować znaki w VHDL dla matrycy 16x8 pikseli?

    Tworzymy Sobie pakiet do xfonts w celu łatwiej konfiguracji: C_MAX_CHARS - ilość znaków C_MAX_CHAR_LENGTH - ile bitów w pojedynczej linii znaku C_MAX_CHAR_LINES - ile linii w pojedynczym znaku Następnie, danie główne: charReadFile( "znaki.txt" ) , należy podać nazwę pliku gdzie znajdują się fonty (ten sam folder co projektu) albo pełną ścieżkę, bez...

    Programowalne układy logiczne   18 Sty 2012 06:59 Odpowiedzi: 5    Wyświetleń: 2056
  • Uzywanie zmiennych i malejaca czestotliwosc maksymalna

    po bozemu to ja bym zrobil tak: w osobnym pliku piszesz sobie kod pamieci sram z parametrami sk i gk, kompilujesz go jako osobny projekt i upewniasz sie, ze ise faktycznie zrozumialo, ze to ma byc pamiec; obliczasz sobie zmienna BYLO: n = dw(mod)sk, POWINNO BYC: n = dw / sk; Projekt polega na tym, ze mam 10 przetwonikow 14-bitowych, z ktorych musze...

    Programowalne układy logiczne   26 Paź 2007 18:39 Odpowiedzi: 30    Wyświetleń: 3435
  • Schemat blokowy dla programów w Pascalu: Zgadywanka i drugi program

    Witam, czy może mi ktoś pomóc w narysowaniu schematu blokowego tych 2 programów? Pozdrawiam serdecznie i z góry dziękuję. program 1: Program Zgadywanka; uses crt; var pr,li,a,b,x:integer; c:char; label new,zle; begin new: clrscr; randomize; li:=random(70+1)+10; pr:=0;a:=10; b:=80; repeat pr:=pr+1; writeln('Podaj...

    Programowanie   04 Gru 2007 12:06 Odpowiedzi: 1    Wyświetleń: 5201
  • Projekt z przetwornikiem A/C TLC549 i 80C51 - błędne wyświetlanie napięcia na LCD

    Witam! Mam zrobic projekt z przetwornikem A/C Tlc 549 Chce podac napiecie U2(AIn na schemacie) na przetwornik a pozniej wyswietlic je na Lcd moj kod w bascomie onfig Lcdpin = Pin , Db4 = P0.2 , Db5 = P0.3 , Db6 = P0.4 , Db7 = P0.5 , E = P0.1 , Rs = P0.0 Config Lcd = 20 * 4 Cs Alias P3.0 Clk Alias P3.5 Wyjscie Alias P3.1 Dim I As Byte Dim F(8) As Byte...

    Początkujący Elektronicy   19 Maj 2007 09:45 Odpowiedzi: 0    Wyświetleń: 1754