MOTOROWERY I MOTOCYKLE APRILIA BAOTIAN BMW CAGIVA CPI DNIEPR HARLEY HONDA ITALJET IŻ JAWA - CZ JUNAK KAWASAKI KTM KYMCO MACAL MALAGUTI MIŃSK MZ - ETZ PEUGEOT PIAGGIO ROMET, OGAR, KOMAR, MOTORYNKA (polskie) SIMSON STELLA SUZUKI SYM TRIUMPH WFM WSK VESPA YAMAHA [url=http://www.elektroda.pl/rtvforum/vi... YZFR1L schematy...
A nie chcesz samemu tego napisać? To jest prosty projekt, dobry do nauki. Po pierwsze musisz zdefiniować wejścia/wyjścia układu, na podstawie schematu: [syntax=vhdl] library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity praca1 is Port( Clk : in std_logic; EN : in std_logic; row : out std_logic_vector(7 downto 0) := "10000000" ); end entity praca1; [/syntax]...
Chcę zeby funkcja posortowała mi w moim koderze huffmana prawdopodobieństwa od najmniejszego do największego tymczasem funkcja wyrzuca mi tylko największą wartość.Jakieś pomysły? library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.MATH_REAL.ALL; use ieee.numeric_std.all; entity median_module...
Czyli ma to wyglądać mniej więcej tak: [syntax=vhdl]LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.std_logic_unsigned.all; LIBRARY work; ENTITY blink IS port ( Q : out std_logic_vector (24 downto 0); -- wyjście 25 bitowe clk : in std_logic -- wejście zegarowe ); END blink; ARCHITECTURE bdf_type OF blink IS signal c : std_logic_vector(24 downto...
Witam, Proszę bardzo, kod przepisany do VHDLa. Zapodaj schemat lub przypisanie sygnałów do numerów pinów XC9536XL to wygeneruję plik do programowania. Pozdrawiam JarekC ----------------------------------------... -- Company: Forum Elektroda -- Engineer: JarekC -- -- Create Date: 19:51:03 06/16/2010...
Witam Mój problem polega na tym że napisałem kod w vhdl na generator liczb psedulosowych (Rejestr przesuwający z liniowym sprzężeniem zwrotnym) I próbuję do niego teraz narysować schemat na przerzutnikach (zdjęcie poniżej), jednak podobno jest on zły, ale jak patrzę w ten kod to nie widzę błędu Kod: https://obrazki.elektroda.pl/2304043600_...
Kod mial byc wg tego zalaczonego w pierwszym poscie schematu. Rzeczywiscie zmienilem mux z asynchronicznego na synchroniczny, ale i tak i tak juz testowalem i nie dziala w zadnym przypadku. Tutaj podaje kod z test bench, aczkolwiek dopiero 1 raz go utworzylem. Wiem, ze trzeba w nim cos pozmieniac zeby byly wpisane dane, ale tego nie umiem. Wczesniejsze...
Cześć! Po wielu nieudanych podejściach do stworzenia pierwszego poważniejszego projektu samemu postanowiłem zasięgnąć porady na elektrodzie. Zupełnie pierwsze kroki mam już za sobą (na 704-K Xilinx starter kit) - udało mi się rozrysować schemat, który zsyntetyzował się poprawnie ("migacz" diodą w zależności od naciśniętego przycisku). Poważniejszym...
Nie wiem czy o coś takiego Ci chodzi: http://obrazki.elektroda.net/80_12820467... Tutaj masz testbencha, które dodajesz do projektu, PPM :arrow: Add Copy of Source , powinien sam się podłączyć, bo ma tą samą deklarację: LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; --zawiera pożyteczną funkcję CON_STD_LOGIC_VECTOR(Int,...
_geris_ czyli powinienem dorobic dwa procesy opisujące faze 2 i faze3. Przyznam ze nie rozumiem tego tamplate zaproponowanego przez J.A wygląda to mi na to ze w jednym stanie (np S0) miałbym opisać co sie dzieje ze wszystkimi 3 adresami i w zależności od tego przechodzić do jakiś substanów (sx1, sx2...)? Drugi proces jak mniema miałby opisywać przypisanie...
Witam, Mam problem z działaniem licznika modulo 10. Oto kod programu: entity mod10 is Port ( C : inout STD_LOGIC; R : inout STD_LOGIC; Q : out STD_LOGIC_VECTOR (3 downto 0)); end mod10; architecture Behavioral of mod10 is begin process(C,R) variable cnt : std_logic (3 downto 0); begin if R='1' then cnt:="0000";...
Witam, Mam do wykonania projekt - układ skanujący matrycę klawiszową. Jak do tej pory udało mi się wyskrobać kod programu umieszczonego poniżej. Niestety kompilator wskazuje błąd : Error (10327): VHDL error at ADR.vhd(64): can't determine definition of operator ""+"" -- found 0 possible definitions Nie wiem...
mam pewien problem odnośnie przycisków.... napisałem prosty program: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all;...
Polecał bym sortowanie bąbelkowe według schematu for j := ile-1 downto 1 do for i:=1 to j do if a[i] > a[i+1] then przestaw(a[i], a[i+1]); gdzie zadeklarowana tablica ma postać var a: Array Dla typu rekordowego sam musisz napisać procedurę przestaw, zamieniającą poszczególne pola rekordów miejscami...
Witam Mam do napisania projekt pt: "Sterownik 4cyfrowego wyswietlacza LED z regulacja jasnosci swiecenia". Poziomy jasności świecenia mam uzyskać poprzez zmianę współczynnika wypełnienia. Napisałem program, który moim zdaniem spełnie wymagania projektowe, jednak podczas implementacji wyskakuje błąd o treści: "is assigned but never used:, dla sygnałów:...
A który kod symulujesz: ten if reset = '1' then tmp <= "0000"; wy <= '0'; elsif clk'event and clk = '1' then if enable = '1' then tmp <= we; else tmp <= tmp ( 2 downto 0 ) & '0'; end if; wy <= tmp(3); end if; czy ten if reset = '1' then tmp <= "0000"; elsif clk'event and clk = '1' then if enable...
Mam problem ze zrealizowaniem jednej funkcji. Jest to część projektu na uczelni. Projekt to obsługa silnika krokowego. Na chwile obecna silnik chodzi. Zmieniam kierunek obrotu i mogę jeszcze wybierać między pracą pełno-krokową o pół-krokową. Chciałem jeszcze zrealizować wykonanie zadanej liczby kroków, ale idzie mi to jakoś opornie. Nawiasem mówiąc...
Witam, przepisałem moduł od nowa wyrzucając wykrywanie przekroczenia wartości próbek do schematu. Poniżej kod który działa na Spartanie 3 AN 700 nawet przy zegarze 50 MHz. U mnie będzie pracował przy 10 MHz, więc mam nadzieję że tym bardziej będzie dobrze liczył. ----------------------------------------... -- Company:...
To jest ten kod: ----------------------------------------... -- VHDL moj_projekt_no1 -- 2010 7 27 14 57 33 -- Created By "Altium Designer VHDL Generator" -- "Copyright (c) 2002-2004 Altium Limited" ----------------------------------------... ----------------------------------------... -- VHDL moj_projekt_no1...
Okey, wiem, że muszę skorzystać ze schematu Hornera. coś tam mam już napisane ale nie wiem jak i gdzie wprowadzić, aby rysował wykres. w sumie to i tak nie wiem czy dobrze mam coś takiego. Program wielomian; Uses crt; var wartosc,x:real; wsp:array[0..5]of real; n,k:integer; begin for k:=0 to 5 do begin wsp[k]:=3;...
Witam! Muszę na jeden z projektów oddać model sterowania silnikiem krokowym w VHDL-u. Zrobiłem płytkę według schematu (w załączniku) płytka będzie wtykana w złącze na zestawie dydaktycznym ze SPARTAN-em. Napisałem do tego program narazie prosty, po naciśnięciu jednego przycisku silnik ma wykonać pełen obrót w lewo a po naciśnięciu drugiego to samo tylko,...
https://obrazki.elektroda.pl/7407652600_... Dziś opiszę proces stworzenia programowalnego kardridża do komputera Atari 65XE. To jeden z tych projektów, które od zawsze chodziły mi po głowie ale nigdy nie miałem samozaparcia przysiąść i zgłębić temat tego komputera. No to teraz przyszła najlepsza okazja: pomysł powstał przy okazji naprawy...
Witam Mam prośbę czy mógłby mi ktoś wytłumaczyć jak działa ten program: Pytania: Skąd sie wzięła ta liczb &H4000(Adres = &H4000) może ma ktoś jakiegoś pdfa opisującego układ memory? - reszte z bascoma rozumie Jeśli chodzi o schemat z proteusa to z niego nic nie rozumie. Po co jest ta bramka and? Co robi ten 74ls373? $crystal = 11059200 $regfile = "reg51.DAT"...
jednak coś skopałem w tym moim MUX12to1 symbol się wygenerował i mogę dać go do schematu, ale wywala błąd przy kompilacji że : ERROR:HDLParsers:812 - "E:/CPLD/avt2875/test1/mux12_1.... Line 40. A value is missing in case. czyli jakby nie działały linie wyboru sel0 do sel3 linia 40 to : when "1011" => bitout <=...
Tworzymy Sobie pakiet do xfonts w celu łatwiej konfiguracji: C_MAX_CHARS - ilość znaków C_MAX_CHAR_LENGTH - ile bitów w pojedynczej linii znaku C_MAX_CHAR_LINES - ile linii w pojedynczym znaku Następnie, danie główne: charReadFile( "znaki.txt" ) , należy podać nazwę pliku gdzie znajdują się fonty (ten sam folder co projektu) albo pełną ścieżkę, bez...
po bozemu to ja bym zrobil tak: w osobnym pliku piszesz sobie kod pamieci sram z parametrami sk i gk, kompilujesz go jako osobny projekt i upewniasz sie, ze ise faktycznie zrozumialo, ze to ma byc pamiec; obliczasz sobie zmienna BYLO: n = dw(mod)sk, POWINNO BYC: n = dw / sk; Projekt polega na tym, ze mam 10 przetwonikow 14-bitowych, z ktorych musze...
Witam, czy może mi ktoś pomóc w narysowaniu schematu blokowego tych 2 programów? Pozdrawiam serdecznie i z góry dziękuję. program 1: Program Zgadywanka; uses crt; var pr,li,a,b,x:integer; c:char; label new,zle; begin new: clrscr; randomize; li:=random(70+1)+10; pr:=0;a:=10; b:=80; repeat pr:=pr+1; writeln('Podaj...
Witam! Mam zrobic projekt z przetwornikem A/C Tlc 549 Chce podac napiecie U2(AIn na schemacie) na przetwornik a pozniej wyswietlic je na Lcd moj kod w bascomie onfig Lcdpin = Pin , Db4 = P0.2 , Db5 = P0.3 , Db6 = P0.4 , Db7 = P0.5 , E = P0.1 , Rs = P0.0 Config Lcd = 20 * 4 Cs Alias P3.0 Clk Alias P3.5 Wyjscie Alias P3.1 Dim I As Byte Dim F(8) As Byte...
schemat playstation schemat schemat schemat geforce schemat whirlpool schemat
dioda alarmu świeci cobra prędkość przepływu przyklejanie radiatora
downtown schemat zmywarka whirpool instrukcja
Whirlpool ADP606F/IX ECO – wyciek wody, uszczelki, węże, diagnostyka zmywarki Pitsos Vario 700 – pralka zatrzymuje się po kilku obrotach bębna, pobiera wodę