Chodzi Ci ozasilanie licznika i sygnaly,czy rozpiske samego licznika?
Witam, bardzo prosty uklad cyfrowy: - 555 to generator sygnalu zegarowego, zapewne pare Hz (nie liczylem) - 7490 to licznik liczy do 10 (0-9), liczy impulsy z 555 - stany licznika sa dekodowane przez 7447 ktory zamienia informacje binarna na sygnaly sterujace wyswietlaczem 7mio segmentowym W takt impulsow z generatora beda sie zmienialy cyfry na wyswietlaczu...
Witajcie. Mam do was ogromną prośbe, może ktoś mi rozrysować schemat licznika który bedize zliczał sygnaly od 0 do 9 bodajrze jest to licznik modulo 8. Ma być on wykonany w technologii TTL, skladac sie z 7 segmentowego wyswietlacza LED, przezutnikow JK i transkodera '47 Mam prosze o schemat
Cuz mam juz zrealizowane wlasne rozwiazanie, wprawdzie dosc skomplikowane ale dziala. Do jednego wejścia bramki and podlaczamy multiwibrator monostabilny o okreslonej dlugosci impulsu, do drugiej generator przebiegow prostokatnych (czestotliwosc ok 10kHz moze byc). Sygnal z bramki podlaczamy do clocka licznika 8-bitowego. Sygnaly z wyjsc licznika do...
Przeróbka instalacji fabrycznej po to tylko aby zapaliła się kolejna ozdóbka na desce ? To nie jest do konca tak. Moj ojciec ma VW vento i w nim nie m a lampki rezerwy. Zalozylismy licznik od seata cordoby i lampka rezerwy jest i dziala prawidlowo (zapala sie przy niskim poziomie paliwa). Tak wiec to kwestia paru elementow w liczniku bo sygnaly dochodza...
Witam Proszę o pomoc Po wymianie licznika w VW polo 1.9 sdi 2000 r. Świeci abs i mryga kontrolka od ręcznego i jeszcze trzy krotkie sygnaly dzwiekowe polo moje nie ma abs-u a licznik pewnie jest od modelu z abs-em da się to jakoś vagiem przeprogramowac licznik po numerze katalogowym taki sam
Może pokombinujesz z dołożeniem przerzutnika monostabilnego, albo licznika. Uzyskasz dwa różne, ale zsynchronizowane sygnaly zegarowe
Podobnie zachowywal sie taki kod: tez chcialbym zrozumiec ... oba przyklady powyzej sa funkcjonalnie identyczne , verilog jako default przyjmuje, ze sygnaly sa wire, jesli nie zadeklarujesz inaczej: "`default_nettype none"; dla samej nauki veriloga te 'wpadki' nie maja wiekszego znaczenia, zachowanie ktore opisujesz wydaje sie specyficzne dla konkretnej...
widze ze jednak jest niewiele osob na forum ktore maja doczynienia ze starszymi modelami alfy romeo. z tego co udalo mi sie dowiedziec to w liczniku po 93r przybylo wtyczek ale sygnaly zostaly pomiedzy nimi zdublowane. czy ktos moglby mi taka informacje potwierdzic i ewentualnie podpowiedziec w jaki sposob spiac starsza instalacje z nowszym licznikiem?...
No to caly czas jest zly wsad pamieci . Mozliwe ze w jednym aucie z tym samym silnikiem bedzie wszystko ok a w drugim nie choc mnie sie cos takiego jeszcze nie zdarzylo a podmienialem np. vdo na mm . Musisz kombinowac dalej z wsadami . Auta te moga sie roznic np. komputerami ktore beda dawaly inne sygnaly na licznik . Dodano po 4 Przesylam Ci jeszcze...
jak chcesz zrobic stoper to proponuje zastosowac uklad 555 w konfiguracji astabilnej np tak jak w nocie z linka co podalem w poprzednim poscie, tak dobrac parametry Ra, Rb i C zeby okres drgan byl 0,1s i wyjscie podpiac pod jakis licznik. Wylaczniki podpinasz szeregowo miedzy wyjscie zegara i wejscie licznika. Tylko w tym przypadku musisz podpiac sie...
Witam mam problem z obrotomierzem i wskaznikiem predkosci,(nie dzialaja). Mial ktos taki przypadek?? Moze ktos podeslac rozpiske zlacza licznika, Skad w tym aucie ida te sygnaly , na ktorych pinach zlacza sa??
ok teraz pozostaje namierzyc sama zlaczke od absu ale moze cos blizej na temat miejsca zainstallowania kostki laczacej sygnaly z induktrow?
Istota jest poznanie co tak naprawde sie dzieje i tu tkwi odpowiedz na to jak usunac prolem. Kazdy odbiornik podczerwieni odbiera oprocz sygnalu wlasciwego z pilota (diody nadawczej podczerwieni) inne sygnaly ktore emituja np zarowka, slonce. Zaklucenia sa tez spowodowane tym ze w odiorniku jest wzmacniacz ktory ma duze wzmocnienie by wzmocnic sygnal...
Cos sie dzieje z eletronika - najprawdopodobniej licznika. Na pierwszy ogien ja sprawdzilbym kostki - sygnaly, masy i zasilania do licznika. Wymontowac licznik wypiac i wpiac ponownie kostki jak pomoze to znaczy ze ktorys pin w nich nie styka jak nie to trza szukac glebiej. Moze ktorys element elektroniki odmawia posluszenstwa przy silniejszym mrozie?...
a czy jest mozliwosc zamiany tego sygnaly z analogowego na cyfrowy czyli CAN wiem ze niektorzy wyjmowali tachograf i wkladali jakąś przejsciowke dzieki ktorej komputer licznik wszystko dzialalo
to jest przyklad najbardziej prostego i banalnego, przy tym najbardziej ukladozernego i miejscozernego licznika. Jest to tak zwany licznik rewersyjny (dwukierunkowy) z przeniesieniami rownloleglymi. Tal dla scislosci powinny jeszcze tu byc sybnaly wyboru kierunku zliczania )dla kazdej bramki and te osobne wejsci 1 liczy w gore 0 liczy w dol) Zeby zrozumiec...
Możesz wykorzystać do tego celu szybką transformatę Fouriera w celu wyznaczenia częstotliwości wchodzących w skład badanego sygnału, a następnie porównujesz widmo częstotliwości występujących w sygnale z częstotliwościami składowymi danego kodu DTMF ( Dodano po 17 Znalazłem swój stary program z przetwarzania sygnałów: http://obrazki.elektroda.pl/2043492400_1...
Zalozenia ktore podales sa niezbyt precyzyjne. Podejrzewam, ze do sterowania serwomechanizmami sygnaly PWM wcale nie musza na siebie zachodzic a z faktu, ze maksymalne wypelnienie PWM wynosi zaledwie 10% wynika iz moglbys wystawic nawet 10 PWM-ow. Podsumowujac idea jest taka: w pierwszych 2ms (w okresie 20ms) obslugujesz 1-szy PWM (na pozostale PWM-y...
Sprawdzilem cale zasilanie wszystko jest moim zdaniem wporzadku,po podpieciu telefonu i polaczeniu przez zlacze diagnostyczne przez elm327 wszystkie sygnaly sa prawidlowe wiec sadze ze to wina licznika ale odczytam jeszcze eeprom wedlug rady kolegi Dodano po 4 Zczytalem pamiec i wychodzi ze jest uszkodzona cala zawartosc to FF ,dodam tylko ze czytane...
Witam jestem poczatkujacy w elektronice cyfrowej i chcialem zaprezentowac moj pierwszy projekt. Chcialem zobaczyc jakis fajny efekt z dioda RGB i po przeczytaniu kilku katologow wymyslilem to: NE555 to generator impulsu prostokatnego natomiast 74HC93 to licznik ktory odpowiednio zlicza impulsy i podaje na 3 wyjscia sygnaly.Tranzystory dodalem poniewaz...
Witam, poradzilem sobie z rejestrami zlecen zewnetrznych i wewnetrznych, ale mam jeszcze kilka pytan co do reszty. Do rejestru polozenia windy chcialbym uzyc licznika '192 i dekodera '155. Na wejscie zegarowe myslalem aby dac sygnaly cjw przez bramke or, ale mam problem skad wziac sygnaly up/down? myslalem ze moze z ukladu sterujacego ruchem z góra/dól....
Witam! Autko Daewoo Lanos Objaw: Jazda po miescie z predkoscia do 60 km/h wszystko ok Wyjazd za miasto zwieksza sie predkosc jazdy i automatycznie przestaje dzialac zliczanie kilometrow. Taj jakby sie zawieszalo/zacinalo. Oczywiscie licznik cyfrowy. Co to moze byc??? Podejzewam modul predkosci tylko czy to w tym aucie wystepuje?? Jak podawane sa sygnaly...
w sumie zeby dzialal ci komputer (pokazujacy min spalanie itp) wystarczy pociagnac jeden kabel z sygnalem spalania i sterowanie dla manetki mozesz bawic sie tez w dodatkowe czujniki (plyn do spryskiwaczy) i sprawdzacz zarowek pozdrawiam a i jedna istotna sprawa: zegary musisz miec rowniez od samochodu z silnikiem diesla i doczytalem jeszcze jedna rzecz:...
W podobnej sytuacji, mając pod ręką adapter CAN wytargany z jakiejś zezłomowanej instalacji taksometru, podpiąłem pod licznik analogowy sygnał prędkości. Działało jak trzeba. Tu masz przykładowe urządzenie, znacznie bardziej rozbudowane. https://www.car-tronic.pl/interfejs-can-... Trochę to partyzantka,...
Oczywiście zapisując w taki sposób, nakazujesz sprzętowe zwielokrotnienie komponentu. Użycie pojedynczego komponentu wiele razy, wymaga użycia układu sekwencyjnego typu automat FSM. Wówczas powinno to wyglądać mniej więcej tak: device: gf2m port map(a => input0_reg, b => input1_reg, c => output_next); --sygnaly te powinny byc rejestrami...
cos mi sie wydaje ze jednak to chyba nie tak no troche nie tak; w moim poprzednim poscie masz 2 przyklady jak to zrobic, praktycznie rzecz biorac masz jedynie dopasowac nazwy; musisz zapracowac na swoja ocene w szkole, wiec dalej radz sobie sam; nie przerabiaj swojego kodu, wymaz wszystko miedzy SUBDESIGN a END i napisz od poczatku, ewentualnie podpierajac...
saly nie mam problemu z mikrowylacznikami, potrzebuje wyprowadzic sygnaly do alarmu. artur3554 dzieki za schemat. Zastanawiam sie, czy gdy wyprowadze wspolny sygnal z przedniej lampki (styk 1) w podsufitce do alarmu, majac modul opozniajacy gasniecie swiatla nie bedzie problemow? Jak otworze centralny zapala sie lampka, co da mylny sygnal do alarmu,...
Witam ponownie. W ukladach cyfrowych raczej nie uzywa sie analogowych ukladow czasowych. Jak beda potrzebne opoznienia rzedu [ns], zbudujesz to na bramkach. Przy wiekszych czasach stosuje sie uklady timerow 74LS121 lub 74LS123 lub ich nowsze odpowiedniki. Mozna taki timer (albo tez 555) uzyc w konfiguracji przerzutnika monostabilnego by generowal impuls...
taj jak pisałem wyżej u mnie problemem byl zestaw wskazników (licznik), oczywiscie twój problem moze byc inny, ale nie jest wielkim problemem odchylenie zestawu wskaznikow i odlaczenie od niego dwóch kostek na próbę. Bedziesz miał przynajmniej pewność ze to nie robi licznik. u mnie nikt nie podejrzewał zestawu wskazników - a jednak. podobno w nim jest...
Sygnał predkości wyciągniety z licznika. Musisz sprawdzic, czy u siebie masz taką możliwość. Oczywiście przy liczniku linkowym jest to niemożliwe i trzeba by stosować dodatkowe czujniki. Sygnaly do pomiaru spalania, to mierzony czas otwarcia jednego z wtryskiwaczy. Sygnal wziety z komputera samochodu. Można się wpiąć brzpośrednio do przewodu wtryskuwacza....
Witam, Rozdzial Liczniki -> http://www.i-lo.tarnow.pl/edu/inf/prg/pm... Rozdzial 4.3 Liczniki -> http://zstux.ita.pwr.wroc.pl/slawek/WYKL... Prawie gotowe rozwiazanie na CMOS'ach-> http://www.elektroda.pl/rtvforum/topic17... Twoim przyciskiem sa sygnaly z pilota, kazde nacisniecie...
schematu nie znam, ale wydaje mi sie ze uklad ten dziala mniej wiecej na takiej zasadzie : sygnal z generatora taktujacego podawany jest na licznik (najprawdopodobniej UCY 7493) na wyjsciu ktorego pojawia sie juz kod BCD, sygnaly te wchodza (aby zapobiec "miganiu" diod), na wejscie pamieci podrecznej (mozliwe ze UCY 7475 - 4 przezutniki D) , ta skolei...
Tez zaczolem robic tes - ale nie mam czasu go skonczyc :P. Dane sa ok. i CE, OE, VCC, VPP sa ok. A0-A7 sa ok. A inne adresy cos wariowaly - ale dokladnie nie mialem czasu sprawdzic. Pozdrawiam: ==Lynx== Na normalnym schemacie adresy sa robione z 2 sygnalow z portu lpt (DO1 i DO0) przez 74HC367 (CD4503) powstaja sygnaly D i CLK ktore steruja licznikami....
Witam. Jeśli chodzi o podświetlenie to działa przez około 3-5 sekund (nie liczyłem) ale u mnie to światełko wysiadło :( pewnego razu pojechałem na przejażdzkę, wieczorem, wszysko ładnie działało, a gdy wróciłem swiatełko nie działa - w zamian gdy wcisnę przycisk "light" licznik ożywa i pokazuje jakąś tam prędkość - a szkoda bo świetnie się to podświetlenie...
uscislijmy specyfikacje: uklad ma zliczac sygnal 'impulsy_do_zliczania' w gore, jesli aktywny jest sygnal 'licz_w_przod' i w dol, jesli aktywny jest sygnal 'licz_w_tyl'; co ma zrobic, jesli oba sygnaly kierunku liczenia sa aktywne ? jesli oba nieaktywne, to zapewne ma tez nie zliczac; po kazdym doliczeniu sie do 1000 ma sie uaktywnic kolejne wyjscie,...
Jestem wlasnie w trakcie montazu elektrycznego wspomagania w seicento wiec pomyslalem, ze doloze swoje kilka groszy do dyskusji. Wystepuja dwie wersje sterownika do wspomagania. Numer seri pierwszego zaczyna sie od 465, drugiego od 467. Na kolumnie jest rowniez numer. Tylko sterownik zaczynajacy sie od takich samych trzech cyfr bedzie wspolpracowal...
Witam! Licznik kilometrow w moim partnerze jest jeszcze analogowy (autko z 99r.) silnik benzynowy 1,4 8V. Podobna przekladke robilem w lanosie i okazalo sie, ze wszystkie sygnaly byly w wiazce tablicy zegarów, mimo ze samochod fabrycznie nie byl wyposazony w obrotek. Czy immo ma cos wspolnego z tablica zegarow?? Jak rozbieralem tylne drzwi to widzialem...
Zmodyfikowalem i zoptymalizowalem. Dalej nic. Wykresy dziwne wychodza i wartosci nie sa te same. Moze uzyc innego przerzutnika D? http://obrazki.elektroda.net/47_12021529... Tylko wtedy nie wiem, co podlaczyc pod dodatkowe wyjscia :| Schemat i tabelki wygladaja nastepujaca. http://obrazki.elektroda.net/70_12021531... http://obrazki.elektroda.net/69_12021531...
Witam ! Prawdopodobnie musi byc uklad wykorzystujący kod Grey-a, w przypadku gdy mamy 2 sygnaly oddalone o 90 stopni pojawiają sie one w sekwencji np. 00 10 11 01 00 lub ruch w druga strone 00 01 11 10 00, wykrycie takiej sekwencji i dopiero po jej zakonczeniu mozna podac sygnal na jedno z wejsc licznika, w potencjometrach sprawa jest prosta, tam bledy...
Czesc, Reset na liscie czulosci powinien byc ale tylko jak masz asynchroniczny reset. Jesli jest synchroniczny to nie powinien tam byc bo proces bedzie schedulowany na zmiane na oba sygnaly wiec bedzie sie wzbudzal w symulatorze za kazdym razem jak cos sie bedzie dzialo z resetem. Jak masz synchroniczny reset to zegar jest wystarczajacy. Zrobi sie co...
Rzecz w tym, ze chcac napisac program ktory realizuje jakies troche bardziej skomplikowane funkcjie, interpretujacy sygnaly analogowe robiacy jakies obliczenia, musisz uzywac komparatorow, operacji na slowach (WORD) podwojnych slowach (DWORD) itp. specjaliści od s7 na forum specjalizują się na komparatorach, tajmerach i przerzutnikach - hmmm.. poprawcie...
Witam, 1. licznik działa tylko do prędkości 85km/h potem stoi, jakiś czas temu pokazywał prędkości dobrze, teraz czasem podczas opadania zatrzymuje się np, przy 40km/h pomimo, że samochód juz stoi w miejscu ;) . Może ma ktoś jakies pomysly? (ja myslalem o tym malym urządzonku ktore przetwarza ruch koła na sygnaly elektryczne [nie pamietam jak to sie...
prosze wszytkich o pomoc, bo nic nie moge na te tematy znalezc. a szukales wogole ? bledy miernikow cyfrowych: http://www.elektroda.pl/rtvforum/topic27... amperomierz cyfrowy - najczesciej wykorzystany woltomierz cyfrowy + bocznik (pomiar napiecia na rezystancji bocznika). Info o glownej czesci woltomierza cyfrowego - przetwornika A/C masz tu...
kolega wprowadził cie troszke w błąd zaden czujnik nic nie ma tu do rzeczy po prostu kazdy samochód grupy vw [i nie tylko] ma wprowadzone w pamieci pewne interwały czasu i przebiegu ktore ich przekroczenie sygnalizuje informacja oil dla wymiany oleju co 15 tys km i serw co 30000 km dla tzw duzego serwisu.te sygnaly pojawiaja sie co 15 i 30 t km niezaleznie...
nie znam abla, moje uwagi sa raczej 'wydaje mi sie' niz konkretne wskazanie bledu; na poczatek przeorganizowalem nieco oryginalny kod by byl czytelniejszy: DECLARATIONS; w3,w2,w1,w0 pin; a3,a2,a1,a0 pin 28,29,30,31; q21,q20 pin; x3,x2,x1,x0 pin 36,37,38,39 istype 'com'; y pin 30 istype 'com'; clock pin 11; wyj1,wyj0 pin; weCzas = [w3..w0]; wy...
Do pomiaru czasu użyj timera/licznika. A tak naprawdę to przecież ty nie musisz mierzyć tej prędkości - przecież ty sam ją ustalasz w programie sterując uzwojeniami. Możesz tak napisać program że będziesz mógł regulować prędkość obrotową silnika, wtedy na podstawie zadanej prędkości wyliczysz sobie czas co jaki ma nastąpić skok i masz super bajer rozwiązanie....
Sproboj najpierw podlaczyc sam licznik bez tranzystora tak jak ci pisalem musi ruszyc pod warunkiem ze odpowiednio bedziesz go sterowal tzn. albo czujnik podlacz do plusa tylko albo do masy tylko i w ktorejs z tych opcji licznik musi zadzialac . Jezeli chcesz zastosowac licznik jako dzielnik przez cztery to musisz wiedziec ze licznik bedzie pokazywal...
Uklad generuje 8 razy wiekszy czas od wejscia kluczujacego ok 125 ms niskim stanem /.../ jak ustala sie wpisy w rejestrach tim1-output ma 8*enable to, co ja widze w kodzie: w rejestrze data jest zmierzona dlugosc wysokiego poziomu wejscia enable ; w rejestrze data_obr_min jest wartosc data podzielona przez 8; licznik rejestr odmierza czas, w ktorym...
jak wyrzucam te sygnały zegarowe z wyjścia licznika na I/O pins na płycie, to na oscyloskopie zegary te wyglądają bardzo dobrze i tak jak powinny ten obraz na oscyloskopie moze byc niestety zludny; rzecz w tym, ze jesli Twoj projekt mocno zalezy od wzajemnej fazy zegarow produkowanych przez licznik, to bardziej polegalbym na symulatorze, niz na osyloskopie...
Witam, posiadam Opla Combo/ Corsa C z silnikiem 1.7dti Y17dt z 2003 roku. Podlaczam komputer pokladowy, ktory bedzie pokazywal srednie spalanie, zasieg, dystans etc. Poki co, komputer pokazuje tylko przejechane kilometry. Potrzebuje dociagnac sygnal o poziomkie paliwa, sygnal predkosci, sygnal konsumpcji. Czy jestescie w stanie podac skad te sygnaly...
W modelu E11 z 1998r. całe sterowanie c.z. znajduje się z tyłu za bezpiecznikami, Witaj ! Pomogles mi odpowiadajac gdzie znajduje sie sterownik centralnego zamka w corolli i faktycznie po odchyleniu bezpiecznikow z tylu jest zintegrowany przekaznik taka szare pudelko. Jak steruje z dzwi lub z pilota na zamkniecie to slychac i czuc jak w nim przekaznik...
To oswiec mnie jak. Procesor nie tylko musialby generowac sygnaly synchronizacji, ale takze sygnal adresowy do pamieci, odczytywac ja i wysylac dane do ktoregos z w/w ukladow. Nie jest to mozliwe do zrobienia na AVR, sam pixelrate dla proponowanego wyswietlacza wynioslby dla 20Hz 6,7MHz, jak w czasie niecalych 3 taktow jakie bedziesz mial do dyspozycji...
Mam 4ro wyprowadzeniowe "fototranzystory" i wcale nie wymontowalem ich ze "stosunkowo starej myszki"- wrecz przeciwnie. Są to uklady wraz z stopniami wejsciowymi, Podlacza sie zasilanie +5V, mase i na wysciu pojawiaja sie impulsy w standardzie TTL - moim zdaniem, Super. Takie dwa sygnaly mozna podlaczyc do przerzutnika D (We: D&Clk Wy: Q&Clk /7474)...
1. 4553 pinow CIA i CIB, czy podlaczenie kondensatora jest zawsze konieczne czy w jakichs szczegolnych przypadkach. Kondensator "napędza" wewnętrzny generator sterujący multipleksowaniem cyfr (wyjść liczników) na wyjściach Q0-Q3 Zamiast niego można podać sygnał zewnętrzny na wejście C1A (pin 4) Częstotliwość multipleksowanie wyniesie wtedy f(C1A)/3...
V553 Meratronik czy coś tam :) Wykopałem opis pinów w gnieździe, przytaczam: Sygnały informacyjne: 1:4 - masa 5:8 - najmniej znaczaca dekada LSB 9:12 - kolejna dekada 13:16 - kolejna dekada 17:20 - kolejna dekada 21:24 - najbardziej znacząca dekada MSB (wykozystywany tylko 1 bit) 25 - informacja - dodatnia polaryzacja napięcia 26 - informacja - ujemna...
ok zrobilem cos takiego , wyjasnie jeszcze zasade dzialania mamy dwa sygnaly z silnika 1. sygnal zaplonu ( 4 impulsy na cykl pracy) 2 sygnal pierwszego cylindra ( jeden impuls na cykl pracy , pomiedzy impulsami zaplonu) program dziala tak ze zeruje licznik za kazdym razem wystapienia sygnalu 1cylindra , nastepnie przy kazdorazowym sygnale zaplonu ,...
Witam wszystkich ! Dostalem od znajomego ekspres do kawy Franke Saphira jest to model bardzo podobny do Jura Impressa x7 . Ekspres ma zablokowane dwa programy: Capuccinno i Pare, po wcisnieciu ktoregos z tych przyciskow automat wydaje 2 sygnaly (beep,beep) Szukam od paru dni jaka jest kombinacja klawiszy do odblokowania tych polecen... nigdzie nie moge...
Cos nowego, liczniki tez nie działają.... Wiec nie wiem czy to zimne luty od "gniazda" prędkościomierza (dlaczego nie działa zliczanie jeśli lcd działa ?), wydaje mi się że czujnik padł w takim wypadku. Pytanie gdzie on jest, jak go wyciągnąć i czy można go naprawić (z tego co widziałem na fotkach to ślimak na drążku włożonym do obudowy plastykowej...
ale chyba if falling_edge(clk) też jest dopuszczalne? oczywiście, rzecz w tym, ze rising/faling_edge wyzwala jakaś akcje jednorazowo ('punktowo') w okresie zegara, a if (clk = '1') then przez cały czas gdy clk jest w stanie wysokim; z 'bramkowego' punktu widzenia 'rising_edge' podepnie clk do wejścia zegarowego przerzutnika; if (clk = '1') stworzy...
no wlasnie klopot w tym ze nie ma w czym wybierac;) te uklady scalone np HOLTEK HT89... i jeszcze jakies 2 cyferki sa sprzedawane w zestawach jako jakis tam efekt ale po co kupowac zestaw za 60 pln jak scalak kupisz bodajze za 15pln a reszte zrobisz za gora 10 pln bo plytka mi wyszla wielkosci niecalej paczki papierosow a duzo wieksze laminaty kupuje...
Witam. Cos zamotalas, bo przy czasie bramki 1s zliczysz 7 lub 8 cyfr. W ten sposob nie osiagniesz precyzji lepszej niz ±1x10exp(-7). A wymagana bylaby co najmniej dwa rzedy wyzsza. Najprosciej wypozyczyc sobie zegar atomowy ... Dostepniejsze sa sygnaly radiowe, sterowane takim wzorcem, np. DCF77. Odbiorniki DCF77 mozna kupic tanio (zegarki radiowe...
Witam! Mam schemat blokowy do modulacji SSB, w ktorym wystepuje m.in transformata Hilberta, przesuniecie i uklad mnozacy, lecz do rzeczy... Mam problem z ukladem mnozacym. ktory bedzie mnozyl dwa sygnaly sinusoidalne (czy cosinus) z zrodel o odpowiednich wartosciach czestotliwosci... Najprosciej jak wyczytalem bedzie wykonanie, takiego mnozenia na wzmacniaczach:...
Gdzie masz dwa sygnaly zegarowe? Podpowiedz cos wiecej jak to sprawdzic. Dzieki koledze z forum z kodu znika reset wiec kod wyglada nastepujaco library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity pwm_gen is port ( data : in std_logic_vector(7 downto 0); clk, res, s : in std_logic; pwm : out...
napisalem program pod AVR sterujacy wyswietlaczem 4 linijkowym. W efekcie caly czas flaga busy odczytywana jest jako '1' nawet od razu po zalaczeniu zasilania. Czy to znaczy, ze LCD jest uszkodzony?? w symulatorze AVRstudio4 wszystko dziala tak jak wydaje mi sie ze powinno :) bardzo prosze kogos bardziej doswiadczonego o analize kodu - moze to wina...
panowie no coz uwazam ze zaklucanie raczej nie jest mozliwe (wzgledy techniczne) 1.zobaczcie na jak roznych pasmach chodza radary jak sobie wyobrazacie pokrycie tych pasm nadajnik co sieje z duza moca(taka byla by potrzebna) po tak szerokim pasmie? 2.zgadzam sie z tym ze antyradar jest bezuzyteczny jak sie poruszasz sam (np w nocy na trasie) jak juz...
Czesc, Najlepiej bedzie Ci puscic wszystkie procesy na tym samym zegarze systemowym a posterowac sobie dlugoscia sygnalow controlnych do read i write. Process(Clk) Begin If Rising_Edge(Clk) Then If WriteToMem='1' Then MyMemory(Address) <= MyNewValue; End If; End If; End Process; Teraz trik to wysterowanie WriteToMem sygnalu...
Procesor przepuszczałby na kartę instrukcje uwierzytleniające, ale przechwytywałby instrukcje odpowiedzialne za ilość impulsów, nr seryjny itp i w odpowiedzi podstawiał dane zapisane w procesorze. Swój tok rozumowania oparłem na popularnych do niedawna blokerach do kart cryptoworks. Sądzę, że byłoby to wykonalne... Jest małe ale odpowiedzie generatora...
Witam. Wiem, że wątek stary jak świat, ale nie został zamknięty. Po pierwsze zakładający wątek powinni być karani za nie podawanie rozwiązania, jeśli w ogóle problem został jakkolwiek i przez kogokolwiek rozwiązany - inaczej brak walorów edukacyjnych. Po drugie raczej tępy nie jestem, ale niewiele praktycznych rzeczy wynika z wypowiedzi w tym wątku....
Witam, mam gdzieś błąd w programie w języku CUPL i nie chce mi skompilować. POomoże ktoś? /* Block Diagram --------- CLK ------->|> | !SDA ------>| |-----> READY !SCL ------>| |----->SHIFT LICZNIK---->| |----->ACKT RESET------>| |----->ACKF | | | | | | --------- */ /* Inputs */ pin 1 = CLK; pin 2 = SDA; pin 3 = SCL; pin 6 = LICZNIK; pin 8 = RESET; /*...
Tak oczywiscie reset podciagniety. Okrojony do minimum program: $crystal = 7372800 $regfile = "m168def.dat" Config Aci = Off Config Lcd = 40 * 2 Config Lcdpin = Pin , Db4 = Portb.2 , Db5 = Portb.3 , Db6 = Portb.0 , Db7 = Portb.1 , E = Portb.4 , Rs = Portb.5 Config Timer1 = Timer , Prescale = 64 On Timer1 Timer1_int Config Pinc.4 = Input '...
Wykrywanie wejscia/wyjscia z pomieszczenia jest dosc proste pod warunkiem ze uwzgledni sie pewne nietypowe zachowania. Na samym poczatku trzeba uwzglednic odleglosc pomiedzy czujnikami. Gdy ta jest mala przebieg bedzie nastepujacy: 00,10,11,01,00 dla osoby wchodzacej z lewej strony (czujniki aktywne 1). Gdy czujniki beda oddalone od siebie na taka odleglosc...
Witam! Snuję się od dluzszego czasu z zamiarem zbudowania czegos w oparciu o mikrokontroler 51' i wyswietlacz alfanr, co wyswietlaloby zuzycie paliwa na jednostke pokonywanej drogi. Caly szum polega na tym ze mialoby to pracowac w aucie gaznikowym, a nie we wtryskowym, do ktorych takich bajerow juz jest pelno na rynku. PYtanie jakie sie nasuwa, skąd...
Witam Dotarłem do końcowej części zadania i utknąłem (na końcu arkusza 1). Prosił bym o pomoc. Zadanie w skrócie brzmi: Zrealizować układ, który zawiera licznik, liczący do 35 czyli do 23 w systemie hexalnym ,połączony z 7 segmentowym wyświetlaczem LED, który posiada dodatkowo przycisk zerujący. ( tu jeszcze był cały kawał zadania ale to nieistotne...
tak na szybko przemyslalem sprawe i do przelaczania video i audio (do rgb dodatkowe 3 klucze czyli jeden uklad 4066) uzylbym kluczy 4066, licznika 4060 i wzmacniacza operacyjnego np tl071. Wzmacniacz podlaczony do sygnalu video MASTER wzmacnialby go kilka razy i dodatni sygnal resetowalby licznik 4060. Jesli sygnal zerujacy nie przyszedlby dluzej niz...
ja posiadam dokumentacje do 2000 rok sygnal pobierany z ABS w twoim przypadku niestety nie mam nowszej dokumentacji do primery prawdopodobnie sygnal z ABS leci jednym przewodem czy wyjmowales licznik ile jest zlaczy mozliwe jest ze sygnal przychodzi na ten sam pin co w starym modelu jak napisalem wyzej sprawdz i daj znac
Witam, problem opisałem juz na innych forach więc przeklejam z tamtąd: Samochod: Ford Escort 1993 Silnik: 1.4 (F6F) - monowtrysk Brak instalacji LPG Przebieg: wg licznika ponad 200.000 sterownik: EEC IV Problem z samochodem jest następnujący...otoż silnik odpala kiedy jest zimny bez problemu, na luzie samochod chodzi caly czas bardzo ładnie (45 minut...
Może coś z tego? https://labor-automatyka.pl/pl/108-przet... https://www.lumel.com.pl/katalog/produkt... https://www.apar.pl/miernik-przeplywu-li... https://www.simex.pl/pl/katalog/liczniki...
Witam Wartość rezystora podciągającego w liczniku jest za mała, należy ją zmienić na 10k. A tak na marginesie jest on w tym układzie zbędny i spokojnie można go usunąć. AB
licznik....masz na mysli szybkosciomierz?ten silnik jest chyba jeszcze na mechanicznym wtrysku,wtedy do obrotow odpada czujnik polozenia walu.pewnie bierze sygnal z przewodu impulsu na cewke zapl.sprawdz polaczenie cewka-zestaw wskaznikow.a predkosc,jesli nie mechanicznie to na skrzyni bedzie czujnik predkosci.wtedy do spr.przewod czujnik pred-zestaw...
eksportujesz wpolczynniki filtra, do workspace , jest taka opcja nomitaor i denominator czy jakos tak , licznik i mianownik z Polska, i teraz tzreb aby wziasc zastosowac filtracje poleceniem 'filter' odfiltrowany=filter(licznik,mianownik,sy... a sygnal robi sie powiedzmy tak musis zmiec czas a wiec t=linspace(0,0.02,100) od 0 do 0.02s 100 probek...
Pamietaj, ze mocniejsze oswietlenie Twojego detektora zwykla latarka da taki sam afekt jak wiazka lasera. Moze powinienes zastanowic sie nad jakas modulacja wiazki nadawanej aby wiedziec, czy sygnal na detektorze to Twoj wyslany sygnal czy zaklocenie (albo wlamywacz z latarka :) ) Albo moze zastosowac TSOP, podczerwien i kod RC5? Odnosnie przetwornika...
Juz dziala :P trzeba bylo ustawic latency na 1ms w ustawieniach sterownika com. A i wyrzuca mi blad sygnalu predkosci samochodu. Z kad idzie ten sygnal ? na desce rozdzielczej pokazuje dobrze a w programie pokazuje caly czas zero i wywala blad. CZy ten sygnal idzie z jednego kola czy z abs ? a moze ze skrzyni ? Edit: Sygnal predkosci wychodzi z abs,...
/.../probowalem to zmienic, aby nie bylo dwoch sygnalow zegarowych/.../ problem jest w tym, ze sygnal ktory ma zerowac licznik asynchronicznie oryginal process (Tx, Tw, licznik) begin if Tx = '0' and Tx'Event then <---- TU JEST BLAD licznik <= "00000000000000000000"; elsif (Tw = '1' and Tw'Event) then wynik <= licznik...
W moim projekcie mam automat stanow. Automat w ostatnim stanie ma trwac tyle taktow, ile uzytkownik zapisze w constants. Przynajmniej tak to rozwiazalem. Czy jedynym sposobem jest zastosowanie zmiennej i zliczanie taktow zegara? zrob licznik ladowany liczba krokow do odmierzenia i licz w dol, dzieki temu do dekodowania bedzie tylko jeden bit tegoz...
Pomagam trzy razy w temacie. C seria tego badziewia to pochodna Steyra . Potomkowie Hitlera sygnal obrotów biorą ze złącza W. (jeśli alternator z trzema konektorami) Na wtyczce X9/5 pin 3. Pin 2 -tam impulsy napięcia z przycisku na desce zmieniają na wyświetlaczu motogodziny/obroty silnika/obroty wom/ 3x
No tak, ale plomba jest na górze. Czy moga być jakies inne przyczyny braku sygnalu oprócz uszkodzenia elektroniki lub wyczerpanej baterii? A jak ten moduł radiowy jest mocowany do licznika? Na jakies zatrzaski czy przykręcany śrubkami z tyłu?
/.../Jak to sie dzieje?/.../ cnt niezaleznie od tego, jak duzy jest licznik; tak to po prostu dziala; wiec zmiana cnt marekos ; J.A
To jest wyswietlacz bez sterownika i bufora ramki. Czyli kaszana. Mozesz podlaczyc do niego jakis zewnetrzny sterownik, albo od biedy sterowac z procesora nastawiajac sie na to, ze poochlonie to cala jego moc obliczeniowa. Jeneralnie najpierw szeregowo wysylasz bity do zatrzasku (o dlugosci jednej linii obrazu) i generujesz kolejne impulsy linii. Jak...
Przy kompilacji uzyskuję taki komunikat: "Unable to locate source for clock TEST|div_derived_clock problem nie lezy w kodzie verilog; prawdopodobnie iCEcube2 uzywa Synopsys do syntezy, ktory procz tlumaczenia kodu RTL na netliste twojej kostki, dopisuje jeszcze div_derived_clock , ale takiego sygnalu brakuje w RTL; ----------------------- jeszcze uwaga...
Witam Ze stabilnoscia 555 jest raczej kiepsko, bo czestotliwosc jest zalezna od rezystorow i kondensatorow, a ich parametry silnie zaleza od temperatury. Lepszy od 555 bylby np. 4060 z kwarcem zegarkowym 32768Hz - ma dodatkowo wewnetrzny dzielnik (przez max 2^14), po podzieleniu czestotliwosci przez 2 (np. przerzutnik D) masz gotowy sygnal taktujacy....
Witam Dzięki wszystkim za zainteresowanie i pomoc,sytuacja opanowana kupiłem z UK vagcommandera 3.6 i poszło liczniki zamontowane i za programowane jak należy.Ten commander 5.5 z allegro to ściema nie komunikuje się z samochodem,znajomy informatyk próbował do niego za instalować starszą wersję 3.6 ale tez nie poszła.Wystawię jeszcze gościowi odpowiedni...
Witam, mam problem mianowicie przy przypisaniu jednego sygnału do drugiego. W tym miejscu istnieje problem: minuty_l <= u_minuty_l; minuty_h <= u_minuty_h; godziny_l <= u_godziny_l; godziny_h <= u_godziny_h; Czy jest jakis inny sposob na przypisanie tych sygnalow do siebie lub trzeba moze je jakos inaczej zadeklarowac albo powinny miec inny...
Objawy dalej takie same. Zaczynam podejrzewać, że mikrokontrolery mam uszkodzone. I jeszcze taka ciekawostka: gdy wyjmę z podstawki uP, który pełni rolę "generatora" po włączeniu całości na wyświetlaczu pokazuje wynik 50. Skąd to się bierze? Przeciez nie masz konfiguracji portu wejsciowego dla licznika (Timer0), i brak podciagania do Vcc. Korzystasz...
Czestotliwosc na wyjsciu nadajnika mierzy sie podlaczajc wejscie miernika na wyjscie nadajnika, ale oczywiscie poprzez odpowienie tlumiki i w taki spsob zeby nadajnik byl obciazony wlasciwa opornoscia wyjsciowa o odpowiedniej mocy. Znacznie prosciej jest mierzyc czestotliwosci generatorow w nadajniku i na tej podstawie wyliczyc czestotliwosc. Czestotliwosc...
Pin 9 kostka biala jest wyjsciem V-Signal (sygnal predkosci) z kombiinstrumentu, ten kabelek ktory tam jest wpiety dochodzi do radia (zglosnienie przy wyzszej predkosci. Jest to sygnal prostokatny 8 volt okolo 8 impulsow na metr
Witam. ... muszę wiedzieć jak to się dzieje że gdy chcemy zmierzyć dużą częstotliwość lecz zakres częstościomierza nam na to nie pozwala, to odejmuje on jakąś częstotliwość wzorcową i na wyniku otrzymujemy ich różnicę. ... Dostepne preskalery (MMIC's) wykonane w technologii GaAs pozwalaja dzielic czestotliwosci siegajace Ku-Band (rzedu kilkunastu GHz)....
Istnieja gateway'ie M-Bus - Rs232/485 niestety wiekszosc implementacji ma opis po niemiecku np.: www.m-bus.de Siemens tez robi taka przejsciowke o ile pamietam ma ona nr.: WZC-P60 ale nie jest tania niestety ;( Mozna probowac zrobic taka przejsciowke samemu TI ma transreceiver (TSS721) a caly opis jest na stronie protokolu www.m-bus.com Dodano po 3...
[code] //PRZEROBIONE STER.ZAWORU KULOWEGO (MIESZACZ) BEZ DLAY() //WSZYSTKO DZIALA POPRAWNIE //MOZE POCZATKUJACYM SIE PRZYDA BO JA SIE TROCHE NAMECZYLEM //ZEBY DZIALAO BEZ DELAY() BO Z DELAY() TO PROBLEMU NIE BYLO #include <Wire.h> #include <LiquidCrystal_I2C.h> LiquidCrystal_I2C lcd(0x27,16,2); //**************************************...
tu mozna zablokować wygaszanie ;---- UWAGA modyfikacja----- ;setb bPowerSave ;ustawienie tego bitu powoduje przejście ;w tryb oszczędzania energii(wygaszenie wyswietlaczy) ;średnik na początku spowoduje pominięcie tego polecenia ;tym samym nie będzie włączany tryb "Power Save" tu można zmienić tryb wyświetlania po włączeniu zasilania...
krotkie sygnaly sygnaly audio systemy sygnaly
iskrownik ustawić drżeć silnik amica wymiana pompy
windows vista 17mb140 panasonic
Dobranoc w ASCII – zapis kodów, konwersja, mikrokontrolery, UART, C, Python Alarm 257 w kotłach DEFRO - zatrzymanie silnika podczas cofania