projekt verilog

Znaleziono około 260 wyników dla: projekt verilog
  • [Zlecę] Projekt wyzwalacza fpga verilog pod płytke Tang Nano 9K spi

    Ostatnie pytanie czy sygnał sys_rst_n ma być połączony pod któryś z przycisków czy jest zewnętrznym sygnałem z układu?

    Projektowanie Bazar   09 Cze 2023 14:57 Odpowiedzi: 11    Wyświetleń: 492
  • Verilog - generacja krótkiego impulsu po opadającym zboczu

    Robisz synchroniczną detekcję zbocza i odliczanie timeoutu jakimś długim rejestrem w momencie ustawienia stanu wysokiego. Jak timeout minie - ustawiasz na zero. IIR raczej nie nie znajdzie tu zastosowania. BTW - co to za projekt docelowo ma być jeśli mogę spytać?

    Programowalne układy logiczne   16 Mar 2013 16:05 Odpowiedzi: 10    Wyświetleń: 2661
  • REKLAMA
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (2min)...
  • Symulacja wyświetlacza 7-segmentowego w Quartus II - krok po kroku

    2.Wybrałem w nowym projekcie Block diagram/schematic files jesli mozesz, to 'przelacz' sie na vhdl/verilog, rysowanie schematow to wymierajacy sposob, nie dosc, ze - wbrew pozorom - bardziej uciazliwy i pracochlonny, to jeszcze nieprzenoszalny z narzedzia na narzedzie, verilog jest na tyle latwy, ze by zrobic cos prostego jak Twoj projekt wystarczy...

    Programowalne układy logiczne   14 Gru 2008 14:14 Odpowiedzi: 9    Wyświetleń: 4170
  • [Verilog] - Prośba o wyjasnienie dwóch komunikatów

    "Net TEST appears to be an unidentified clock source. Assuming default frequency." wklej kod; "że sygnał SYS_CLK jest wykorzystywany jako zegar ale nie określiłem go jako zegar" kompilator rozpoznal, [always (at)(negedge SYS_CLK)], ze sygnal uzywany jest jako zegar, ale nie znalazl deklaracji, ze SYS_CLK jest zegarem; na projekt sklada sie opis funkcji,...

    Programowalne układy logiczne   16 Mar 2015 15:17 Odpowiedzi: 22    Wyświetleń: 3153
  • REKLAMA
  • Mikrokomputer COBRA 1

    No i jest kolejna Cobra 1 w FPGA Projekt będzie miał ogromne walory edukacyjne i praktyczne , nie mogę się doczekać kodu w Verilog , oczywiście obficie skomentowanego :) pz

    DIY Konstrukcje   26 Paź 2025 14:35 Odpowiedzi: 2142    Wyświetleń: 286578
  • Generator DDS na FPGA

    http://obrazki.elektroda.pl/4339422600_1... To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19920
  • REKLAMA
  • Sterownik wyświetlaczy LED na układzie FPGA - miniprojekt

    http://obrazki.elektroda.pl/9739526800_1... Witam Koleżanki i Kolegów! Jak zwykle projekty, które prezentuję tak i ten w stylu pająka - bo projekt prototypowy. http://obrazki.elektroda.pl/7457069600_1... Na zdjęciu od lewej: Zestaw LCMXO2-7000HE-B-EVN wykorzystywany jako programator, płytka prototypowa z układem MachXO2-256...

    DIY Konstrukcje   08 Lip 2013 22:20 Odpowiedzi: 30    Wyświetleń: 22449
  • [VERILOG] Xilinx Spartan 3 - Błąd syntezera przy projekcie zegara szachowego w Verilog

    Witam, W ramach projektu na uczelni muszę zrobić zegar szachowy, który będzie odliczał od maksymalnie 99minut 59sekund. Projekt realizowany na ISE 13.4 oraz płytce ewaluacyjnej Spartan3 W związku z tym tworzę złożony moduł składający się z 4 liczników BCD ( trzech modulo 9 i jeden modulo 5), które mają możliwość ustawienia wartości początkowej. Poniżej...

    Programowalne układy logiczne   29 Lis 2013 15:07 Odpowiedzi: 5    Wyświetleń: 2064
  • [verilog] Projekt verilog

    Witam Aktualnie pracuje nad projektem w języku verilog i niestety nie mogę sobie z nim poradzić Bardzo proszę osobę, która się zna na tym o kontakt Szczegółowe informacje na gg 4559383 lub tel 793677882

    Ogłoszenia Elektronika   03 Gru 2011 18:42 Odpowiedzi: 0    Wyświetleń: 759
  • Verilog - SPI z automatycznym zatrzaskiem, przesunięcie bitów w prawo

    Ogólnie ten moduł SPI ma zapewnić wyjście równoległe dla 24 bitów danych, które wysterują sterownik wyświetlacza 7-segmentowego multipleksowanego. Za niedługo pokażę cały projekt na elektrodzie.

    Programowalne układy logiczne   24 Cze 2013 13:51 Odpowiedzi: 10    Wyświetleń: 3078
  • [Praca] Inżynier Elektronik w Optel Sp. z o.o. we Wrocławiu - Xilinx, ARM, VHDL

    32- letnia firma, laboratorium badawczo - rozwojowe Optel Sp. z o.o. (optel.pl) z siedzibą we Wrocławiu, poszukuje:   Inżyniera Elektronika na stanowisko konstruktora specjalistycznych innowacyjnych urządzeń elektronicznych wymagania:   - wykształcenie wyższe ELEKTRONICZNE - znajomość hardware'u i software'u mikrokontrolerów z rodziny Xilinx, Spartan3,...

    Ogłoszenia Elektronika   03 Kwi 2022 19:11 Odpowiedzi: 1    Wyświetleń: 573
  • Procesor 8051 w Verilog - błąd inout (bidir) w Quartus, jak rozwiązać?

    Projektuje procesor 8051 w programie Qartus Verilog. Procesor jest w polowie gotowy jednak pewna sprawa nie pozwala mi ukonczyć nad nim pracy. Mianowicie program po skompilowaniu wyrzuca mi błąd dotyczący funkcji inout (bidir). Problem polega na tym ze układ nie moze wykonac funkcji odczytu i zapisu na jednym porcie (wyrzuca bład przy syntezacji). Bardzo...

    Mikrokontrolery   25 Paź 2005 09:35 Odpowiedzi: 1    Wyświetleń: 1560
  • Wymiana doświadczeń i pytania ogólne dotyczące mikrokontrolerów – hydepark

    nieprawda Oczywiście, znani aktorzy przecież głos za półdarmo podkładają... Znanych aktorów to można za kilkadziesiąt złotych w teatrze oglądać. Polecam przejrzeć repertuar warszawskich teatrów. Za takie podkładanie samego głosu milionów nie dostają. Raz że to nie Hollywood, dwa że to nic trudnego nagrać jakiś tekst. Nie zdziwiłbym się jakby robili...

    Mikrokontrolery   23 Sie 2021 16:12 Odpowiedzi: 695    Wyświetleń: 102681
  • Podsumowanie lat 15 z elektroniką - od migania diodkami po książkę!

    Gratulacje za chęć przekazania części wiedzy przelanej w książkę. Takiej książki brakowało mi na studiach, wtedy miałem styczność z FPGA, jako projekt zrealizowałem implementację UART na altera. Pamiętam, że bardzo podobał mi się język Verilog jako dla elektronika. Przed oczami widziałem cyfrowy układ elektroniczny i przelewałem go w kod podczas gdy...

    Artykuły   17 Lis 2019 12:22 Odpowiedzi: 50    Wyświetleń: 7800
  • REKLAMA
  • Kompilator Verilog do *.exe na Windows bez dodatkowych narzędzi

    Czy zna ktoś może kompilator Veriloga, który skompiluje mi projekt do postaci *.exe? Coś jak GHDL tylko dla Veriloga. Chodzi o to, żeby przekazać innym model wykonywalny pod Windowsem bez konieczności instalacji dla nich narzędzi.

    Programowalne układy logiczne   09 Cze 2011 22:16 Odpowiedzi: 4    Wyświetleń: 1463
  • Jak dodać bibliotekę virtex2p w Active HDL dla Virtex II Pro?

    Witam, Mam do uruchomienia pewien projekt w Active HDL, w którym muszę dołączyć bibliotekę virtex2p (Design -> Settings ->Verilog -> Verilog libraries). Pomimo ze mam zainstalowane Xilinx ISE nie widzę tej biblioteki. W jaki sposób mam dograć brakującą bibliotekę? Skąd mogę ją ściągnąć? Dopiero zaczynam zabawę z FPGA i Active HDL więc prosze o wyrozumiałość...

    Mikrokontrolery Początkujący   11 Wrz 2012 18:21 Odpowiedzi: 1    Wyświetleń: 1206
  • general-purpose input/output(GPIO), AXI, Vivado, Verilog

    1. Czy układ realizujesz w jakimś konkretnym FPGA? Z kontekstu wynika, że to jakiś Zynq, ale podaj konkretnie co to za układ. 2. W jaki sposób sprawdzasz czy Twój projekt działa? Wgrywasz go do FPGA i testujesz go w sprzęcie? Używasz ChipScope? Używasz symulacji? 3. Gdy kanał wyjściowy/PWM jest podłączony do PL, nie można wpisać wartości za pomocą AXI....

    Programowalne układy logiczne   24 Sty 2020 13:10 Odpowiedzi: 3    Wyświetleń: 702
  • Verilog - Jak zaprojektować sygnalizację świetlną? Bramki logiczne

    Czeeść wszystkim. Mam do stworzenia na zaliczenie projekt sygnalizacji świetlnej. Nie jest zawarte, jak bardzo musi być rozbudowana także przypuszczam tradycyjne skrzyżowanie z 4 sygnalizatorami. Jest mi ktoś w stanie pomóc? Ma być to pisane w Verilogu. Podczas zajęć uczono nas tworzyć bramki (przypisania),top i tb, ale potrzebuje logicznego rozłożenia,...

    Nauka Elektroniki, Teoria i Laborki   29 Sty 2019 19:39 Odpowiedzi: 4    Wyświetleń: 714
  • Jak zacząć z FPGA? Wybór między VHDL a Verilog, zestawy i oprogramowanie

    zalezy na co patrzysz, ja mowie o wyskopoziomwym programowaniu (C) i opisie sprzetu(VHDL) oraz o nieskopoziomowym programowaniu (ASM) i opisie sprzetu (schematy,VHDL tez choc i w C mozna robic wstawki asemblerowe wiec jest podobienstwo) Roznica jest taka ze C PASCAL ASM itd to jezyki prograowania a VHDL Verilog to jezyki opisujace sprzet. co do procesora,...

    Projektowanie PCB   24 Sty 2006 13:34 Odpowiedzi: 15    Wyświetleń: 4260
  • Jaki mikrokontroler wybrać do...?

    Intel, Siemens, Philips, Toyota, czy General Electric. Intel jest właścicielem niemal wszystkich praw do architektury i oznaczeń z cyklu 8051, więc zawsze będzie zainteresowany sprzedażą licencji. Philips w elektronice już nie istnieje. Toyota w elektronice nie istnieje. General Electric w elektronice nie istnieje. Do tego jest taki mały niuans, Digital...

    Mikrokontrolery Początkujący   15 Kwi 2019 17:34 Odpowiedzi: 1285    Wyświetleń: 267469
  • Układ programowalny zamiast płyty z ttl-ami. Jak zacząć?

    Wydaje mi się to najprostsze-"kopiuję" schemat układu bez wnikania w jego funkcje logiczne, pisania tabelek itp. rozrywek niosących ryzyko pomyłki. temat na kilka wieczorow dyskusji; ale postaram sie zamknac a kilku/nastu/ linijkach; :) - rysowanie schematow nie jest wolne od ryzyka pomylki, rowniez trudnej do wykrycia; po polaczeniu ze soba prostokatow...

    Programowalne układy logiczne   19 Lis 2007 23:53 Odpowiedzi: 18    Wyświetleń: 4065
  • Jak stworzyć projekt w Protelu DXP z plików VHDL z opencores.org?

    Rzecz ma sie calkiem prosto. W wielkim i niedoskonalym skrocie mowiac : Z programowaniem w VHDL czy Verilogu jest prawie tak samo jak z pisaniem w asemblerze, Basiku czy C. Z ta jednak roznica ze efektem programu napisanego w C czy ASM jest plik stanowiacy program wedlug ktorego procesor postepuje z danymi. Wynikiem programu napisanego w VHDL czy Verilog...

    Programowalne układy logiczne   10 Cze 2005 21:20 Odpowiedzi: 4    Wyświetleń: 2011
  • LiveDesign Evaluation Kit z Xilinx Spartan-3 do nauki VHDL i Verilog za 450 zł?

    Szukam jakiejs plytki na ktorej moglby sie dalej uczyc VHDLa i Veriloga nie tylko przy symulacjach. Dodatkowo powiem ze chcialbym cos so moze mi sie przydac do pracy dyplomowej: Stworzenie syntezowalnego kodu up 80251 w jezyku VHDL. Mam mozliwosc zakupu tej plytki za 450zl. Czy oplaca sie? Czy moze za ta cene mozna kupic cos sensowniejszego? Jedna wersja...

    Programowalne układy logiczne   24 Sty 2008 15:45 Odpowiedzi: 16    Wyświetleń: 3640
  • ALTERA Cyclone III - Karta graficzna - nakładanie się danych do zapisu z danymi

    Witam serdecznie, Zawsze fascynowały mnie karty graficzne i stosunkowo niska cena monitorów LCD w stosunku do możliwości. Patrząc na rozmaite wyświetlacze LCD, dedykowane do mikrokontrolerów wyszedłem z założenia, że przekątne mają niewielkie a cena jest z kosmosu. Często wychodzi że było by taniej kupić stary monitor LCD za 100zł niż kolorowy LCD 7"....

    Programowalne układy logiczne   16 Lis 2013 19:41 Odpowiedzi: 7    Wyświetleń: 3051
  • UART: PC nie odbiera bajtu z FPGA Spartan, Verilog - co poprawić?

    Witam. Mam pewien projekt do napisania. UART. Niby prosta sprawa. module rs232(CLK, RS_TX, SEND, CLK_TX); input CLK; output reg RS_TX; input wire SEND; output reg CLK_TX; reg [13:0]cnt_tx = 0; reg [3:0] cnt_tx2 = 0; reg [8:0]frame = 9'b011000110; reg frameEnd = 1'b0; reg sendFrame = 1'b0; reg frameSend = 1'b0;...

    Programowalne układy logiczne   28 Gru 2007 13:47 Odpowiedzi: 10    Wyświetleń: 1803
  • Jak zacząć naukę AHDL z użyciem Cyclone II i Quartus II?

    Ponieważ pracuje a raczej będę pracować przede wszystkim na układach altery. Do tego, słyszałem ze to nowocześniejszy i prostszy język. Verilog i VHDL to jednak juz całkiem wiekowe sprawy, choc maja o tyle przewagę że są "uniwersalne" :D Trochę w międzyczasie czytałem na te tematy. Sprawa wygląda tak, że "uczę się" tego fachu na uniwerku, choć słowo...

    Programowalne układy logiczne   29 Wrz 2009 15:20 Odpowiedzi: 12    Wyświetleń: 4245
  • Jak zaprogramować uC do prostych funkcji logicznych?

    No a mają one możliwość generowania kodu dla opisu za pomocą grafu ? Są darmowe narzędzia, które przekształcają graficzny graf (kółka, strzałki etc) na pełny opis HDL jako FSM (Finite State Machine), jest tego pełno. Ja tam preferuje ręczny coding maszyny. Jeśli chodzi o uC to mają większe możliwości gdy idzie o rozbudowę softu, dodanie jakiś skomplikowanych...

    Mikrokontrolery AVR   17 Lip 2011 20:06 Odpowiedzi: 16    Wyświetleń: 2996
  • VGA generator w Verilog na FPGA 50MHz – monitor nie wykrywa sygnału, ekran uśpiony

    Asynchroniczny reset to można jedynie do symulacji dać. W przypadku rzeczywistych projektów lepiej dać reset synchroniczny.

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4866
  • ise-projekt w VHDL, komponent w verilogu, black box

    za malo informacji by wiarygodnie podac przyczyne problemu, wyglada na to, ze verilog kod <gsramv_64_512_16bf> to wrapper do jakiejs pamieci, ktora w zamysle autorow ma byc wygenerowana przez coregen ise i tej pamieci Ci brakuje, stad masz 'black-box'; ------------ Niestety oni tylko ten kod symulowali prawdopodobnie nikt sie nawet nie wysilal,...

    Programowalne układy logiczne   24 Lip 2008 10:19 Odpowiedzi: 2    Wyświetleń: 1890
  • [Zlecę] Projekt Verilog (FPGA) - Higrometr z wyświetlaczem. Prosta symulacja.

    Witam, potrzebuje pomocy, przy napisaniu krótkiego programu z testem w języku Verilog w programie ISE Design Suite - Xilinx. Mam taki temat projektu: Higrometr z wyświetlaczem siedmiosegmentowym dwucyfrowym. Ogólne założenie jest takie żeby układ pobierał z czujnika wartość wilgotności powietrza i wyświetlał w procentach na wyświetlaczu. Dodam, że nie...

    Projektowanie Bazar   29 Sty 2022 17:22 Odpowiedzi: 0    Wyświetleń: 330
  • [Verilog] Modyfikacja kodu skaler HQ2X - zdalna pomoc w przeróbce dla projektu FPGA

    Teoretycznie ten kod był użyty w konsoli i działał poprawnie. Tutaj więcej informacji: http://fpganes.blogspot.com/2013/02/the-... Chyba jest jednak troszkę inaczej niż myślałem bo nie uwzględniłem że po zboczu zegara przy i =3 Next1 będzie miało wartość Next2 ale i będzie miało już wartość 0, czyli cykle porównań...

    Programowalne układy logiczne   01 Kwi 2023 22:23 Odpowiedzi: 2    Wyświetleń: 450
  • Dodatkowe impulsy w symulacji CPLD EPM3064ATC44-10 w Quartus II

    pomimo tego że licznik jest synchroniczny to i tak pojawią się hazardy problem nie w liczniku czy dekoderze, te kawalki wygladaja na napisane poprawnie, masz klopot, bo wejscia zegarowe rejestrow D polaczyles z wyjsciami ukladu kombinacyjego; to jest wlasciwie wbrew 'zasadom sztuki'; jesli bedziesz w stanie opisac co chcesz uzyskac tak, bym to zrozumial,...

    Programowalne układy logiczne   08 Maj 2008 14:44 Odpowiedzi: 8    Wyświetleń: 2985
  • machxo2/verilog - nie działają połączenia wire między licznikami - początkujący

    Podobnie zachowywal sie taki kod: tez chcialbym zrozumiec ... oba przyklady powyzej sa funkcjonalnie identyczne , verilog jako default przyjmuje, ze sygnaly sa wire, jesli nie zadeklarujesz inaczej: "`default_nettype none"; dla samej nauki veriloga te 'wpadki' nie maja wiekszego znaczenia, zachowanie ktore opisujesz wydaje sie specyficzne dla konkretnej...

    Programowalne układy logiczne   30 Mar 2013 00:09 Odpowiedzi: 15    Wyświetleń: 2937
  • Jak stworzyć linię opóźniającą na carry chain w Spartan 6?

    Jaki tego jest sens? Znowu się komuś chce mierzyć krótkie impulsy, czasy trwania opóźnień? Dobra, whatever... Nowy projekt do wyboru: VHDL/Verilog: -Klikasz w ISE na żółtą żarówę -VHDL/Verilog -> Device Primitive Instation -> Spartan-6 -tam znajdziesz całą listę prymitywów FPGA, w bloku CLB CARRY4 -ściągasz bogatą dokumentacje do Swojego Spartan'a (bez...

    Programowalne układy logiczne   07 Lut 2011 21:26 Odpowiedzi: 7    Wyświetleń: 1891
  • Opinie o układach Actel APA075 w projektach FPGA/VHDL - czy warto?

    Przypisania blocking i non-blocking wystepuja w Verilogu, ale nie wystepuja w jawnej postaci w VHDL. sekwencje zapewnia sie albo przez uzycie instrukcji "after" lub przez stosowanie FSM ( automatu skonczonego) w uzasadnionych przypadkach. Przypisania wewnatrz procesow maja charakter blocking w tym sensie, ze tylko ostatnie przypisanie do sygnalu ujawniane...

    Mikrokontrolery   26 Lis 2004 09:54 Odpowiedzi: 40    Wyświetleń: 5789
  • Zaprojektowanie iteracyjnego komparatora dwóch liczb 8-bitowych od bitów młodszych do starszych

    A może tak więcej szczegółów? W jakiej postaci ma być projekt: schematu, opisu w VHDL/Verilog lub jeszcze inny.

    Projektowanie Układów   11 Sty 2021 21:30 Odpowiedzi: 3    Wyświetleń: 585
  • Demokratyzacja procesu projektowania układów

    No właśnie to stwierdzenie "czy się do tego nadajesz" to niestety sprawdzeniem tego musi być gotowy chip, gdyż darmowe narzędzie to nić innego metodologia wielostopniowej konwersji bloków IP na poziomie RTL najczęściej jest to Verilog (które możesz uruchamiać w układach FPGA), do pliku wynikowego GDSII, niewiele ma to wspólnego z profesjonalnym narzędziem...

    Artykuły   02 Lut 2022 19:04 Odpowiedzi: 22    Wyświetleń: 1659
  • Doświadczenia z SystemC w projektach amatorskich i profesjonalnych

    Dzieki za wskazówki. Hmm z tego co zrozumiałem to SystemC jest dedykowany do pisania testbenchy;). A co z blokami do syntez ? Nadal królują VHDL i Verilog ? Jakie języki opisu sprzętu mają przyszłość ?

    Programowalne układy logiczne   26 Lip 2007 11:48 Odpowiedzi: 6    Wyświetleń: 2120
  • WARP-V: Generator rdzenia procesora RISC-V obsługujący ISA MIPS

    https://obrazki.elektroda.pl/3545666900_... Jeśli pracowałeś kiedyś nad otwartymi rdzeniami procesora RISC-V ISA, istnieje duża szansa, że ​​natknąłeś się na WARP-V. Dla początkujących WARP-V to po prostu generator rdzeni procesora RISC-V napisany w TL-Verilog (Transaction-Level Verilog), który obsługuje nie tylko RISC-V,...

    Newsy   20 Lip 2021 08:24 Odpowiedzi: 1    Wyświetleń: 735
  • Błąd Multi-source w module SPI na sygnale busy w projekcie Spartan-3A. Jak naprawić?

    g.d, przyjmij reke na zgode ... swieta w koncu :) przyznaje sie do 'splycania' tematu; Ojej, może nie jestem aż taki groźny, to było tylko w kwestii mojego wcześniejszego postu. Przyjmuję rekę na zgodę nie tylko na święta. :D ja przez cale swoje zawodowe zycie robie hardware i dlatego 'odruchowo' patrze na kod rtl z punktu widzenia tego, jak to zrealizuje...

    Programowalne układy logiczne   21 Mar 2008 17:00 Odpowiedzi: 25    Wyświetleń: 2684
  • [verilog] Różnice między modułami VHDL i Verilog w obsłudze VGA na Spartan3e?

    /.../ Dlaczego to nie chce ruszyc tylko z poziomu Veriloga to raz a dwa zauwazylem ze swieca mi sie dwie diody na plycie, których nie ma w ogóle uwzglednionych w strukturze po raz - jesli faktycznie tak jest, to masz na 90% bajzel w przypisaniach pinow, ustawieniach itp, innymi slowy jesli kod dziala otoczony wrapperem, ktory laczy jedynie 1:1 wejscia...

    Programowalne układy logiczne   29 Lis 2010 22:50 Odpowiedzi: 8    Wyświetleń: 2232
  • Jak zaprojektować cyfrowy obwód do komunikacji I2C-bus? Od czego zacząć?

    chcialbym zaprojektowac cyfrowy /.../ Od czego powinienem zaczac? skoro piszesz na te grupe, to zakladam ze interesuje Cie kontroler i2c w jakims fpga/cpld; ja bym polecal taka sekwencje dzialan: 1.instalacja jednego z 2 najpopularniejszych programow do programowania fpga ise lub quartus, [jak wszyscy stali bywalcy grupy wiedza moim typem jest quartus]...

    Programowalne układy logiczne   18 Lip 2008 22:30 Odpowiedzi: 9    Wyświetleń: 4230
  • Jak zacząć z FPGA EPF84282A i MAX+plus II 10.2? Szukam prostego projektu LED

    Skoro jestes w stanie docenic potrzebe poznania ukladow PLD, to zapewne miales juz do czynienia z projektowaniem ukladow cyfrowych. Nie wazne jest czy byl to Verilog czy VHDL czy tez proste laczenie bramek przy pomocy jedynie zdrowego rozsadku. Wazne jest by wiedziec o co w cyfrowce chodzi. IMHO kazda ksiazka ma zady i walety, ale przeczytac nigdy nie...

    Programowalne układy logiczne   26 Sty 2006 00:05 Odpowiedzi: 2    Wyświetleń: 4479
  • Moduły Sipeed Tang Nano/Gowin FPGA - wymiana doświadczeń, dyskusja techniczna

    Wg mnie najlepiej uczyć się poprzez wykonywanie projektów, zacząć od prostych a potem je rozbudowywać. W sieci jest mnóstwo informacji, poradników kursów itp. Nie jestem w stanie wskazać jakiego jednego konkretnego który uważałbym za najlepszy. Dobra książka o VHDL lub Verilog też nie zaszkodzi. Osobiście piszę w VHDLu, chociaż gdybym dzisiaj jeszcze...

    Programowalne układy logiczne   09 Kwi 2025 10:19 Odpowiedzi: 19    Wyświetleń: 1383
  • Darmowy i prosty parser do VHDL do przetwarzania entity na component

    Że tak nieśmiało się zapytam: Autor używa może XILINX ISE? W nim, po zaznaczeniu w projekcie pliku opisującego działanie jakiegoś entity (plik vhdl/verilog) w menu poniżej, w opcjach, w "Design Utility" jest opcja "View HDL Instantiation Template", która produkuje plik z zawartością jakiej chyba chce, tzn. pełny opis komponentu na podstawie entity i...

    Programowanie   08 Wrz 2024 18:02 Odpowiedzi: 18    Wyświetleń: 303
  • Ile średnio zarabia junior, mid i senior FPGA DESIGN ENGINEER?

    np.: ... Szukam FPGA Engineer Branża: Finanse - Platforma tradingowa Projekt: Tworzenie urządzeń sieciowych - Host system via PC Tech: Verilog Stawka: 25- 35K PLN Podsumowanie oferty: https://youtu.be/w8DoKGJyx_Q Czy jest to coś, co mogłoby Cię zainteresować? Pozdrawiam

    Projektowanie i Tworzenie Po godzinach   10 Maj 2022 08:44 Odpowiedzi: 10    Wyświetleń: 3846
  • Język programowania do nauki DSP i FPGA – VHDL czy inny? Polecana literatura

    Obecnie najpopularniejsze są: VHDL oraz Verilog. Osobiscie polecam Ci VHDL i środowisko do pisania i symulacji firmy Xilinx, mają darmową wersję Ise Webpack która wystarczy dla początkujących. Warto dodać iż w symulacjach Post Fit lub Post map(rout) uwzględniane są czasy propagacji dla danych modelów chipów FPGA lub CPLD. Dla czego VHDL? Moim skromnym...

    DSP i Transmisja   05 Cze 2010 10:05 Odpowiedzi: 7    Wyświetleń: 4413
  • [FPGA] ISE WebPack i Embeded zasady licencji, częstotliwość

    Sytuacje mam taką: chce odpalic projekt na XC6SLX150 - czego potrzebuję? Jaki projekt? Wybierz narzędzia, które Ci odpowiadają do realizacji konkretnego zadania: ISE WebPACK - darmowe narzędzia do implementacji logiki, rozmieszczanie i analiza(PlanAhead), symulacja (Isim) i gotowe Xilinx'a IP Core'y (CoreGenerator, część z nich darmowa). Reszta narzędzi...

    Programowalne układy logiczne   24 Sie 2013 19:01 Odpowiedzi: 5    Wyświetleń: 3314
  • Dlaczego localparam/parameter w Verilogu nie działa z wartością 26'd25000000?

    Analogiczny preskaler w VHDLu przy użyciu constant działa bez problemów. O co tu może chodzić? Czego używasz i co się dzieje dokładnie ? Wspiera Verilog-2001 ? Co Ci wysyła krzaki, syntezer czy opis (projekt HDL) ? Bo jak to drugie, to masz skopane coś w opisie, problem z używaniem czegoś, zrozumieniem tego i zastosowaniem, bez więcej szczegółów trudno...

    Programowalne układy logiczne   15 Lis 2011 23:47 Odpowiedzi: 5    Wyświetleń: 1678
  • Kurs FPGA Lattice MachXO2 w języku Verilog: publikacja w Elektronice Praktycznej i na GitHubie

    Nawet nie wiedziałem, że moja stara strona jest dostępna na Wayback Machine Przyznam, że nawet ostatnio tam właśnie zaglądałem przez wayback machine. Szukałem wtedy chyba info o moim ulubionym projekcie czyli AVR spectrum i się zastanawiałem czy dałbym dzisiaj radę coś podobnego wykombinować.

    Programowalne układy logiczne   09 Mar 2024 12:50 Odpowiedzi: 18    Wyświetleń: 2868
  • Verilog - Jakie narzędzia i programy do nauki projektowania układów cyfrowych?

    Polecam poczytać www.fpga4fun.com jest tam kilka przykładów ciekawie wytłumaczonych.

    Programowalne układy logiczne   30 Maj 2013 22:46 Odpowiedzi: 5    Wyświetleń: 3357
  • [Zlecę] Projekt kontrolera SDRAM dla MC68030, VHDL/Verilog, 32bit

    Zlecę stworzenie kontrolera pamięci SDRAM dla procesora MC68030 szyna danych 32bit.. preferowane środowisko VHDL/Verilog .. ..więcej informacji na p.m. Atrakcyjne wynagrodzenie .. Płatność przelewem. Czas realizacji: 2-3 tygodnie - ew. jak ktoś potrzebuje więcej czasu możemy się dogadać. Kontakt PW

    Projektowanie Bazar   27 Maj 2019 20:01 Odpowiedzi: 0    Wyświetleń: 645
  • Konkurs - do czego wykorzystasz FPGA? WYNIKISponsorowany

    Moim zdaniem VHDL/Verilog nie zginą - podobnie jak nie zginął C czy ASM. Nadal w tych językach będzie się tworzyło optymalne i najbardziej zaawansowane projekty.

    Artykuły   16 Gru 2019 18:57 Odpowiedzi: 87    Wyświetleń: 10896
  • Darmowe narzędzie do wyszukiwania identyfikatorów w złożonych programach?

    Mam jednak pewien problem: niektóre pliki projektu są zaszyfrowane, synteza sobie z tym radzi, ale "synth_design" nie. Co z tym robić? Vivado zna ten szyfr, więc odszyfrowuje podczas syntezy, ale to jest szyfr specyficzny dla Vivado i pewnie nic innego go nie łyknie. Przydałoby się móc wyodrębnić z projektu te zaszyfrowane pliki, zrobić z nich syntezę...

    Programowanie   26 Sie 2024 14:32 Odpowiedzi: 21    Wyświetleń: 399
  • [Verilog][Modelsim] - Nie inicjalizuje zmiennych i nie propaguje sygnału

    jeden przyklad zamiast dziesiatek slow ... [syntax=verilog] module clk_divider ( input clk50, output reg clkdiv = 1'b0, input cnt_en, output [7:0] cnt_out ); reg [7:0] cnt = 8'h0; always (at)(posedge clk50) clkdiv <= !clkdiv; always (at)(posedge clkdiv) if (cnt_en) cnt <= cnt + 1'b1; else cnt <= cnt; assign cnt_out = cnt; endmodule ///========================...

    Programowalne układy logiczne   07 Kwi 2014 11:58 Odpowiedzi: 3    Wyświetleń: 1917
  • [FPGA][ALTERA] Symulacja ModelSim nie chce zadziałać (megafunkcje)

    Tak, dobrze. Polecam jednak czytać błędy, zwykle są tam pomocne informacje :wink: Error: (vsim-3033) E:/workspace_ALTERA/LDPC/main/U2_ZM_... Instantiation of 'lpm_mux' failed. The design unit was not found. To oznacza że nie może znaleźć modułu "lpm_mux". To jest biblioteczny moduł, więc dodaj biblioteke "lpm_ver"....

    Programowanie   09 Maj 2018 22:19 Odpowiedzi: 8    Wyświetleń: 735
  • Darmowy, prosty kompilator Verilog i VHDL do nauki podstaw, open source

    Witam Chcę się nauczyć podstwa języka Verliog i VHDL. Poszukuje jakiś darmowych, prostych najlepiej w wersji free open source kompilatorów tych języków. Wiem, że jest np: Active-HDL, Xilininx i Altera ale są one dla mnie zbyt potęzne jak na początek. Zależy mi na podstawach (projekt rejestru, licznika itp). Pozdrawiam

    Programy EDA   25 Lut 2010 22:19 Odpowiedzi: 3    Wyświetleń: 3776
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35884
  • Początki z FPGA (podstawy, programowanie, środowiska, ciekawe projekty)

    Widziałem nawet coś w rodzaju "Python dla FPGA" ale domyślam się, że otrzymany kod musi być słabej jakości) zależy od tego kto pisze :) To jest trochę inny Python - bo programowanie FPGA jest zdecydowanie nie liniowe tylko równoległe. IMO to po prostu bardziej przyjemna dla ludzi wersja HDL-i. Dodatkową zaletą jest to, że ten ala Python może być tłumaczony...

    Programowalne układy logiczne   29 Paź 2019 12:15 Odpowiedzi: 6    Wyświetleń: 1266
  • Muzeum polskich półprzewodników i układów hybrydowych

    W RWPG istniał ścisły podział na branże i zakres produkcji - polska elektronika miała produkować dość ograniczony asortyment przyrządów półprzewodnikowych stąd ta mizeria w katalogu CEMI i innych firm - jedynym krajem który w RWPG produkował wszystko było ZSRR - to był chyba pierwszy kraj który produkował seryjnie LED na bazie SiC długo jeszcze przed...

    Archiwum techniki   26 Wrz 2025 15:38 Odpowiedzi: 130    Wyświetleń: 32397
  • Verilog lub VHDL - programator, soft, od czego rozpoczać dla CPLD

    Ja mam z Xilinxem niemiłe doświadczenia - środowisko Vivado jest znacznie cięższe od Quartusa, często się zawieszało. W dodatku na 2/3 moich komputerów nie działał programator (świeże instalacje Windowsa, ze wszystkimi sterownikami - pomocy od Xilinxa zero). Model sim to program do symulacji układów FPGA - debugowanie projektu FPGA jest sporo trudniejsze...

    Programowalne układy logiczne   19 Paź 2016 07:29 Odpowiedzi: 12    Wyświetleń: 3246
  • [FPGA] Czym są układy FPGA i jakie mają zastosowania?

    FPGA i CPLD się nie programuje. To się konfiguruje. To tylko nałóg że używa się słowa "programowanie" Wyobraź sobie że masz kilkadziesiąt wiaderek z układami cyfrowymi. Bramki, przerzutniki, pamięci, dekodery itp. W każdym wiaderku po 1000 szt. I wszystko to po zaprojektowaniu układu cyfrowego lutujesz na płytce 10x10m. Gdy użyjesz FPGA lub CPLD masz...

    Mikrokontrolery   14 Wrz 2009 10:19 Odpowiedzi: 25    Wyświetleń: 7484
  • Firmy w Krakowie i Kielcach oferujące praktyki FPGA, VHDL, Verilog

    Doświadczenie to jedynie projekty które realizowaliśmy na uczelni (obecnie jestem na 3 roku). Do tej pory z ważniejszych własnych projektów to: Analizator stanów logicznych z wykorzystaniem VGA, używając środowiska Active-HDL i płyty ewaluacyjnej z układem Spartan3E. Obecnie używając EDK implementujemy mikroprocesor microblaze oraz proste peryferia...

    Programowalne układy logiczne   28 Mar 2010 13:45 Odpowiedzi: 4    Wyświetleń: 2573
  • [Verilog] Materiały do modelowania licznika zliczającego w dół w Verilog oraz synteza

    witam co do materiałów do veriloga to polecam stronke www.opencores.com można sobie tam ściągnąć całą masę układów napisanych w verilogu, dużo ciekawych artykułów o projektowaniu itd itp sam zobacz, w jezyku polskim nie ma ksiązek do veriloga, mam troche materiałów po angielsku jeśli jesteś zainteresowany daj znać postaram się coś wysłac co do syntezy...

    Programowanie   08 Cze 2006 13:12 Odpowiedzi: 3    Wyświetleń: 1293
  • [Zrobię] Projektowanie PCB / Schematów / FPGA / uC / inne usługi elektroniczne

    Witam W ramach mojej działalności chciałbym zaoferować Państwu swoje usługi z szerokiej dziedziny elektroniki. Projektowanie PCB - dowolna ilość warstw - zrealizowane 20 warstwowe - oprogramowanie: CADENCE ALLEGRO/ALTIUM DESIGNER/PROTEL/CADSTAR/PADS/EAGLE/ oraz inne na życzenie klienta Tworzenie schematów elektrycznych - dowolna trudność urządzenia...

    Ogłoszenia Elektronika   23 Paź 2011 19:16 Odpowiedzi: 2    Wyświetleń: 2901
  • [verilog] [Verilog] Konflikt sterowników dla netu Nextphase przy zboczach HALLA i CLOAK

    Witam. Potrzebuję do swojego projektu warunku na zbocza wyjścia If (negedge (input) == 1) begin a <= 1; end Gdy próbuję czegoś takiego: always (at) (negedge HALLA) begin nextphase <= 2; end always (at) (posedge HALLA) begin nextphase <= 5; end i używam tej zmiennej w programie głównym gdzie always (at) (posedge CLOAK) Wywala mi coś takiego:...

    Programowalne układy logiczne   06 Sty 2012 16:08 Odpowiedzi: 2    Wyświetleń: 2124
  • Jak napisać blok opóźniający w Verilog dla PSoC/FPGA?

    Witam Potrzebuje do mojego projektu bloku opóźniającego coś jak timer TON w sterownikach. Niestety nie mam pomysłu jak to napisać w verilogu. Blok ma opóźnić o ustawiony czas zbocze narastające natomiast opadające by wystąpiło bez opóźnienia.

    Programowalne układy logiczne   01 Paź 2014 18:43 Odpowiedzi: 2    Wyświetleń: 2028
  • EPM240 - pierwszy projekt licznika 8-bitowego w Quartus

    yakuza_2000 - bardzo dziękuję za pomoc. Udało mi się usunąć wszystkie warningi. J.A - zdecydowanie masz rację w kwestii schematów blokowych. Udało mi się poprawnie uruchomić ten licznik i teraz kolejnym krokiem będzie zrobienie tego samego w Verilog'u. Właśnie nad tym pracuję. Czytam sobie różne kursy na temat tego języka. Składnia nie jest jakość szczególnie...

    Programowalne układy logiczne   28 Mar 2017 21:08 Odpowiedzi: 5    Wyświetleń: 3006
  • Jak przekazywać sygnały między procesami w VHDL dla zegara jajek?

    a czemu nie mamy się pokłocić fajna zabawa i może się czegoś dowiem zresztą to nie musi być zaraz kłótnia nie wiem niestety co to są mechanizmy PLI a może nie znam tej nazwy, a projektuje i w verilogu i w vhdl-u i to projekty na kilka osób i to całkiem spore, więc nie mogę odpowiedzieć na to pytanie no mogę jednak powiedzieć tak i vhdl i verilog są...

    Programowalne układy logiczne   08 Gru 2006 11:06 Odpowiedzi: 16    Wyświetleń: 2824
  • [Zlecę] Projekt w verilogu - potrzebna pomoc w prostym układzie

    Wysłałem e-mail ale brak odpowiedzi.

    Projektowanie Bazar   10 Maj 2023 17:18 Odpowiedzi: 2    Wyświetleń: 399
  • Wybór układów programowalnych: CPLD czy FPGA dla 32 kanałów PWM?

    Witam, Wszyscy główni dostawcy CPLD/FPGA (Xilinx, Altera, LatticeSemi, Actel) oferują darmowe środowiska programistyczne. Niektóre trzeba tylko zarejestrować. W przypadku FPGA raczej nie znajdziesz układu który tolerowałby 5V, w CPLD pozostała chyba tylko seria XC9500XL Xilinxa. Do twojego projektu spokojnie powinny wystarczyć układy CPLD np. MACHXO...

    Programowalne układy logiczne   07 Kwi 2010 22:13 Odpowiedzi: 15    Wyświetleń: 2232
  • [Xilinx ISE, Spartan 6, VHDL] - Mnożenie liczb 36x36Bit na DSP48A.

    Ok spróbuje w ten sposób. Ogólnie nie chciałem wrzucać bezpośrednio instacji tych komponentów Nie ma takiej potrzeby. Podejrzewam, ze wartość ACC jest używana natychmiast po przypisaniu przez mnożarkę, wiec syntezer nie może wstawić rejestrów pomiędzy bloki 18x18 i 'spajplajnować' przetwarzania. Spróbuj wstawić opóźnienie na 1-2 rejestrach na wyjściu...

    Programowalne układy logiczne   01 Kwi 2015 09:21 Odpowiedzi: 7    Wyświetleń: 3699
  • ActiveHDL + Xilinx + ISE WebPack = problem z syntezą fpga

    Witam, HDL synthesis - Xilinx ISE/WebPack 9.1 XST VHDL/Verilog Implementation - Xilinx ISE/WebPack 9.1 Family - Xilinx 9x Spartan2 Running XST Synthesis... Please wait... Release 8.2i - xst I.31 Niby napisane o co chodzi - not-available, okej, tylko co zrobić żeby działało? Co ciekawe, ten sam projekt, z tymi samymi ustawieniami syntezuje się na komputerze...

    Programowalne układy logiczne   25 Wrz 2008 11:05 Odpowiedzi: 2    Wyświetleń: 2965
  • Jak wyodrębnić 4 bity z 8-bitowego słowa w Quartus dla CPLD?

    /.../Mam taki problem: generuję blok mający na wyjściu słowo 8bit nie pomoge Ci w tym konkretnym problemie, choc z quartusem pracuje 'od zawsze' nigdy nie uzywalem edytora schematow; mam taka uwage - ludziom zaczynajacym z pogramowaniem fpga czesto wydaje sie, ze mozna stworzyc projekt bez uczenia sie jednego ze standardowych jezykow vhdl/verilog,...

    Programowalne układy logiczne   25 Lis 2008 15:26 Odpowiedzi: 2    Wyświetleń: 1086
  • [Wykonam] Projekt na układach programowalnych - Altera

    Witam, W całości (kompleksowo) lub częściowo zaprojektuję urządzenie w oparciu o układy programowalne CPLD, FPGA firmy Altera (lub inne). - realizacja logiki poprzez opis sprzętu w języku Verilog, Vhdl lub Ahdl. - opracowanie schematu elektrycznego całości urządzenia oraz płytki drukowanej (PCB) wraz z dokumentacją do produkcji o dowolnej ilości warstw....

    Ogłoszenia Elektronika   04 Sie 2010 16:53 Odpowiedzi: 2    Wyświetleń: 1764
  • [Studia]Stworzyć mikroprocesor na elem. log. i wgrać na FPGA

    Z mojej wiedzy to tyle. Dodam jeszcze, że 99% materiałów jakie znajduje o mikroprocesorach omawia dość dokładnie jak one działają jednak nie omawia ich budowy oraz reguł tejże budowy z elementów logicznych. Tak więc jeżeli macie jakieś materiały mi przydatne, proszę dajcie znać. Nie wiem gdzie szukałeś na temat tych procesorów . Chyba w Nasa. ;) Ale...

    Programowalne układy logiczne   23 Gru 2010 23:38 Odpowiedzi: 4    Wyświetleń: 2660
  • Verilog - Jak unikać przypisań wielokrotnych w przypadku wielu sygnałów?

    Witam W verilogu zrobiłem kilka mniej lub bardziej skomplikowanych projektów. Zawsze mam jeden mały problem - zapominam się i robię przypisania wielokrotne. Kilkukrotnie spotykałem się z sytuacjami, w których jakaś zmienna musi się zmieniać pod wpływem kilku sygnałów zewnętrznych. Prosty przykład jaki mi przychodzi teraz do głowy to zegar. Pod wpływem...

    Programowalne układy logiczne   20 Maj 2015 13:01 Odpowiedzi: 1    Wyświetleń: 1491
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Do słowa symulacja zniechęciła mnie uczelnia...nie widząc rzeczywistego urządzenia. jak chcesz, patrzac w rzeczywiste urzadzenie nie widzisz nic ciekawszego niz migajaca Nie wiem co jest grane przy kompilacji pojawia mi się błąd, że outputs are stuck - niektóre pod Vcc a jeden pod GND nie wiedzieć czemu w koncowym raporcie [tej kartce na glownym oknie...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2556
  • [CPLD/FPGA] Wybór płytki CPLD/FPGA do nauki VHDL/Verilog do 300 zł

    Witam ostatnio na zajęciach miałem styczność z CPLD i FPGA ,zainteresował mnie ten temat ,wiadomo na zajęciach nie można się pobawić , tylko napisać/ściągnąć program i do widzenia. Dotychczas miałem styczność z stm i avr tam płytka była bardzo tania wiec pomoc w wybraniu płytki była zbędna. Cena do 300 zł. Płytka może być bez zewnętrznych układów typu...

    Programowalne układy logiczne   01 Sty 2014 22:43 Odpowiedzi: 10    Wyświetleń: 3528
  • W jakim języku programujesz?

    Widać doświadczenie w Waszych wypowiedziach, podoba mi się praktyczne podejście do tematu. Wybranie tego co pasuje do projektu oraz zespołu to dobra metoda. Być może takie dyskusje jak w tym temacie są niewyczerpalne, ale gdy uczesticzę w takiej rozmowie to jest to okazja do poznania nowych narzedzi oraz różnych rodzajów podejścia do tematu. Poznając...

    Tematy tygodnia   08 Lip 2018 12:27 Odpowiedzi: 47    Wyświetleń: 5313
  • Pierwszy wywiad z sztuczną inteligencją ElektrodaBot - zapraszam do zadawania pytań!

    Cześć. Chciałbym zrobić układ do mnożenia dwóch liczb 3-bitowych za pomocą bramek logicznych. Z tego względu że na wyjściu jest więcej jak jedna kombinacja, to nie wiem, jak sobie z tym poradzić za pomocą tablic Karnaugha. Ktoś pomoże i mi poradzi oraz powie, jaki jest algorytm projektowania dla tego zagadnienia? Cześć! Projektowanie układu do mnożenia...

    Tematy tygodnia   29 Mar 2023 11:02 Odpowiedzi: 2194    Wyświetleń: 37902
  • Wybór FPGA z obsługą mini-LVDS i komunikacją PC (UART/USB) do projektu

    A uwzględniłeś ceną za Shipping, i dodatkowe opłaty? Czy posiadasz jakieś ciekawe kanały dystrybucji? Ja osobiście pierwsze zamówienie zrobiłem u oficjalnego dystrybutora produktów Digilent'a w Rumunii. Ze względu, że ten kraj znajduje się w Unii, zapłaciłem tylko za kuriera. Ciekawostką jest rabat "Academic", dla pracowników uczelnianych, ale także...

    Programowalne układy logiczne   12 Lip 2010 23:33 Odpowiedzi: 4    Wyświetleń: 3226
  • [CPLD] Projekt enkodera kwadraturowego na XC9572XL - problem z kierunkiem ruchu

    To jest Verilog- którego zupełnie nie znam. VHDL- też słabo. Ale: masz pewnie Webpack ISE. Robisz w nim schemat. Generujesz ze schematu plik w w VHDL. Odwrotnie też można- z VHDL do schematu. Tylko nie każ mi przypominać sobie jak to się robi. Na pewno da się- bo robiłem. Ale ponad rok temu.

    Programowalne układy logiczne   05 Sty 2010 11:41 Odpowiedzi: 13    Wyświetleń: 3727
  • [FPGA][ALTERA/INTEL] Simulation Waveform - nieoczekiwane stany Unknown Forcing

    Po pobieżnym przeglądnięciu kodu nie widzę żeby to w tym module powstały X, musiały raczej "przyjść" z zewnątrz. Robisz symulacje samego modułu PLLR_MAIN? Czy ten moduł jest gdzieś użyty? Plus parę uwag: 1) Ogólnie ten kod nie jest napisany w stylu "FPGA" :wink: Na przykład: [syntax=verilog]always(at)(negedge triger) begin if(reset == 1) begin adres...

    Programowanie   25 Kwi 2019 21:23 Odpowiedzi: 11    Wyświetleń: 513
  • Wybór szkoły średniej: elektronika czy elektryka? Dylemat ucznia klasy 8.

    Nic takiego nie proponowałem, radzę czytać ze zrozumieniem. Elektronika to dość szeroka branża i jest stosowana w różnych dziedzinach życia, to nie tylko serwisy RTV/PC. Proszę w takim razie o konkrety - na jakich stanowiskach związanych z elektroniką można pracować po technikum elektronicznym? Ty chyba nie masz bladego pojęcia ile jest elektroniki...

    Nauka Szkolnictwo   17 Kwi 2022 18:29 Odpowiedzi: 74    Wyświetleń: 2994
  • [Verilog] Jak podłączyć bufory trójstanowe do dwukierunkowej transmisji PS/2 w Verilog?

    Cześć wszystkim, mam pytanie odnośnie treści zadania, które postawiono przede mną na studiach. Oto treść: Układ ma odczytywać dołączoną klawiaturę PS/2 i wyświetlać na wyświetlaczu LED naciśnięte klawisze. Ponieważ dostępny jest tylko wyświetlacz 7-segmentowy, rozpoznawać należy tylko następujące klawisze: 1,2,3,4,5,6,7,8,9,0,a,b,c,d,e,f. W przypadku...

    Programowalne układy logiczne   14 Mar 2012 23:22 Odpowiedzi: 6    Wyświetleń: 2139
  • Szukam zdolnych ludzi chcących wykorzystać swoją pasję.

    Poszukuję osób do pracy przy komercyjnych projektach związanych z elektroniką. Jeśli Twoją pasją jest elektronika lub programowanie chętnie dam Ci możliwość przekucia swojego wysiłku w wymierne korzyści materialne. Jesteś amatorem zafascynowanym elektroniką? Chcesz się nauczyć czegoś nowego? Masz już doświadczenie? Masz trochę wolnego czasu a nie masz...

    Ogłoszenia Elektronika   17 Kwi 2009 09:26 Odpowiedzi: 22    Wyświetleń: 6105
  • Jak zacząć z tanimi i prostymi układami PLD w Polsce?

    Właśnie o to chodzi - układów jest zatrzęsienie więc który kupić do testów, programator na LPT - oki ale jaki (+ soft który go obsłuży) a co chcę dokładnie zrobić - nie wiem - po prostu nigdy ich nie używałem i nie wiem co można a czego się nie opłaca :) Hmm, zacznij od postaw, napisz coś w jakimś języku, do klasycznych PLD (np 16V8) bardzo wygodny...

    Mikrokontrolery   19 Maj 2005 19:21 Odpowiedzi: 12    Wyświetleń: 3379
  • Nowa generacja modułów Arduino

    Czyli środowisko to będzie gniot... miałem nadzieje na coś ciekawego z zupełnie nowym podejściem do VHDL/Verilog. Synteza z C na VHDL/Verilog jest bez sensu, FPGA to zupełnie inna architektura i tu trzeba specyficznego podejścia żeby wykorzystać potencjał procesora. Ta plytka Arduino z FPGA ma kosztowac $60. Ciekaw za ile będzie u chińczyka :) Przy...

    Artykuły   21 Maj 2018 20:56 Odpowiedzi: 5    Wyświetleń: 3486
  • Jak zaprojektować koprocesor arytmetyczny dla 8051? Początki i wskazówki

    Aaaale sie offtop zrobil :P Implementacja rdzenia '51 nie jest zadaniem skomplikowanym, wystarczy wujka google zapytać. Istnieją gotowe przykladowe rdzenie w postaci modulow w jakims HDLu. Wypada tylko znać dany HDL, zeby wiedzieć co dalej juz po zaimplementowaniu rdzenia. Komplikacja jest tylko w wymysleniu logiki do operacji arytmetycznych na floatach...

    Mikrokontrolery   22 Maj 2010 12:29 Odpowiedzi: 25    Wyświetleń: 3026
  • Spartixed - płytka rozwojowa z Spartan 6 do nauki Verilog/VHDL (Kickstarter)

    http://obrazki.elektroda.pl/3033607600_1... Vikas Shukla rozpoczął, za pośrednictwem serwisu Kickstarter, gromadzenie funduszy na realizację projektu płyty rozwojowej o nazwie Spartixed. Spartixed służyć ma jako element platformy edukacyjnej do nauki języków Verilog i VHDL. Sercem płyty jest układ Spartan 6 firmy Xilinx. Spartixed umożliwia...

    Newsy   30 Gru 2015 16:38 Odpowiedzi: 0    Wyświetleń: 2589
  • Jakie zasoby CPLD/FPGA dla 3 rejestrów 512-bit i 64 komparatorów 8-bit?

    Z oszacowaniem nie ma problemu. Napisz projekt a potem dobierasz sobie układ. Tak się zawsze robi. I nie zastanawiaj się nad tym czy CPLD czy FPGA. Najpierw opisz strukturę tego co potrzebujesz w językach z grupy HDL(VHDL czy verilog) podaj syntezie w jakim środowisku Quartus (altera)czy ISE (xilinx) i otrzymasz konkretną odpowiedź czego potrzebujesz....

    Programowalne układy logiczne   21 Maj 2011 09:23 Odpowiedzi: 2    Wyświetleń: 1524
  • układy programowalne w vhdl - jaki system projektowy

    Tak jak nie istnieje jeden kompilator (np Microsoftu czy Keila czy jakiejkolwiek innej firmy) na WSZYSTKIE procesory który był by przydatny(pomijam różne ciekawe "uniwersalne" narzędzia, ich realna przydatność jest zerowa) tak i dla FPGA nie znajdziez jednego dobrego na wszystko systemu. Podstawowy problem tkwi w tym że FPGA różnych firm są naprawdę...

    Programowalne układy logiczne   27 Lut 2007 10:19 Odpowiedzi: 4    Wyświetleń: 2057
  • 486Tang - Klasyczny komputer 486 na płytce FPGA do uruchamiania programów DOS

    https://obrazki.elektroda.pl/9082088500_... Jest to pierwsza udana adaptacja rdzenia ao486 z platformy MiSTer na płytkę Sipeed Tang Console 138K FPGA. Czyli przeniesienie ao486 na układ FPGA firmy innej niż Altera. Komputer 486 PC jest znacznie bardziej złożony niż konsole do gier - sam rdzeń ao486 to ponad 25 tysięcy linii kodu Verilog....

    Newsy   15 Wrz 2025 01:05 Odpowiedzi: 4    Wyświetleń: 486
  • [verilog] Implementacja resetu dla czerwonych świateł w symulacji drogowej

    Kurcze a jak dodać silnik stanów do tego projektu jak pisałeś ? Dodano po 5 A jak mogłby wyglądać else if z czerwonym światłem gdzie pod koniec zapalać się żółte? Ogólnie dziękuje bardzo za pomoc, ciekawe, czy podzielicie sie ze mna ocena z klasowki; macie tu nieco inne podejscie do tematu, z komentarzami 'co i dlaczego', niestety tylko idea, nie gotowiec,...

    Programowalne układy logiczne   09 Lip 2019 14:25 Odpowiedzi: 12    Wyświetleń: 936
  • Czy XUP USB-JTAG Progr. Cable jest potrzebny do FPGA Spartan 3?

    język opisu sprzętu zawsze będzie językiem opisu sprzętu, a nie C++ Dave_PL nie miał tego na myśli. Ale przy dużych systemach brakuje po prostu zwykłych typedef, struktur znanych chociaż z C, które wprowadza standard Verilog-2005 razem z rozszerzeniem SV. SystemVerilog to nie tylko HDL( Hardware Description Language ), ale także HVL ( Hardware Verification...

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9690
  • Jak zaimplementować 16-bitowy CRC-CCITT w Xilinxie? Szukam schematu logicznego

    Dobrzy ludzie pomóżcie, potrzebuje do projektu w Xilinxie wstawić układ obliczający 16 bitowy kod CRC-CCITT (równanie x^16 + x^12 + x^5 + 1) dla danej ramki. W tym temacie jestem totalnym lajkonikiem, ale może ktoś mnie poprawi jak jakas bzdure napisze. CRC-CCITT jeszcze nie potrzebowalem, ale w analizatorach sygnatur i generatorach psedolosowych i...

    Programowalne układy logiczne   22 Sty 2008 10:48 Odpowiedzi: 4    Wyświetleń: 4831
  • Verilog - układ obliczający wynik wyrażenia cos x

    Witam, Jesli mozesz uzyc VHDL to polecam (i uzywam) biblioteke do operacji stalo przecinkowej fixed_pkg. Na stronie rowniez znajduje sie package z operacjami zmiennoprzecinkowej float_pkg(sprawdzalem mnozenie i dodawanie - dziala). Bardzo latwo sie tego uzywa, dziala, duzo mozliwosci parametryzji (szerokosz danych, dokladnosc obliczen). Biblioteka weszla...

    Programowalne układy logiczne   02 Gru 2008 10:47 Odpowiedzi: 4    Wyświetleń: 1867
  • Verilog: Jak dynamicznie zmieniać liczbę przypadków w case przy użyciu generate?

    Nie do końca o to mi chodzi. Zamieszczony przeze mnie kod jest fragmentem dużego modułu. Ten moduł instancjuję w projekcie wiele razy. Na stronie 11 pokazano jak za pomocą generate i parametru raz użyć mnożenia CLA a innym razem WALLACEa. Ja potrzebuję aby w zależności od parametru zamieszczony case miał dwie opcje mniej lub więcej. Potrzebuję raczej...

    Programowalne układy logiczne   13 Lis 2010 14:14 Odpowiedzi: 3    Wyświetleń: 1127
  • Co do nauki VHDL i układów programowalnych? Zestaw uruchomieniowy.

    A czy takie tiny Lattice mają obsługę DDR? Szczerze - nie wiem, ale cena i mała obudowa kwalifikuje je do kategorii hobbyist-friendly ;). Co do impedancji to przy tym profilu co jest dostępny rezystancja ścieżek wypada około 80 Ohm. Pogrub ścieżki ;) Co do projektu to użyj generatora Memory interface generators --> MIG Virtex 6 and Spartan 6. Czy Kolega...

    Programowalne układy logiczne   22 Maj 2014 10:53 Odpowiedzi: 29    Wyświetleń: 3921