verilog sygnał

Znaleziono około 145 wyników dla: verilog sygnał
  • Jaki układ cyfrowy z jednym wejściem i wieloma wyjściami działa jak dzielnik częstotliwości?

    tyle że posiadającego jedno wejście i kilka wyjść. Licznik tez tak ma tylko wyjścia pookazują binarnie stan licznika (jako liczbę dwójkową) W opisach liczników (technika cyfrowa) pojawiają się licznik 1 z N zwany także pierścieniowymi które maja w danym momencie jedno wyjście aktywne. Można poszukać czy taki gotowy scalony istnieje. Zazwyczaj brakuje...

    Początkujący Elektronicy   19 Maj 2025 00:23 Odpowiedzi: 11    Wyświetleń: 285
  • REKLAMA
  • Verilog licznik 4-bitowy nie działa w ModelSim – brak sygnału na wyjściu D

    Twój opis jest NIESYNTEZOWALNY , zastanów się dokładniej nam takim czymś: always wykonują się współbieżnie względem siebie, i do tego mają dostęp (zapis), do tego samego reg ! Unikaj takich rzeczy na przyszłość... Zamień to na jeden blok, nawet jak w symulacji Ci pójdzie, na pewno nie przejdzie syntezy. Dobra, widać po poniższej linijce, że nie masz...

    Programowalne układy logiczne   08 Maj 2011 10:51 Odpowiedzi: 16    Wyświetleń: 3772
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (2min)...
  • [Verilog] - Prośba o wyjasnienie dwóch komunikatów

    "Net TEST appears to be an unidentified clock source. Assuming default frequency." wklej kod; "że sygnał SYS_CLK jest wykorzystywany jako zegar ale nie określiłem go jako zegar" kompilator rozpoznal, [always (at)(negedge SYS_CLK)], ze sygnal uzywany jest jako zegar, ale nie znalazl deklaracji, ze SYS_CLK jest zegarem; na projekt sklada sie opis funkcji,...

    Programowalne układy logiczne   16 Mar 2015 15:17 Odpowiedzi: 22    Wyświetleń: 3174
  • REKLAMA
  • Jak połączyć moduły counter i rom_tab w Verilog do generacji sinusa?

    Nadal jednak nie potrafię otrzymać na wyjściu żadnej odpowiedzi. W czym może tkwić problem ? Stwórz testbench do modułu polaczenie , daj sygnał pobudzający na clk_i (bo masz Z) oraz enable_i i obserwuj wyjście D_o :D http://obrazki.elektroda.pl/7104428800_1...

    Programowalne układy logiczne   28 Lut 2011 13:44 Odpowiedzi: 4    Wyświetleń: 2364
  • Mikrokomputer COBRA 1

    No i jest kolejna Cobra 1 w FPGA Gratuluję, no to mamy CoC, czyli Cobra on Chip... Jaka jest dostępna prędkość zegara? Czy gniazdo rozszerzeń też będzie dostępne? Czy jest również AY3? Co do zegara to trudno mi powiedzieć na ile IP Core Z80 można będzie puścić. Wiele też będzie zależeć od zajętości samego FPGA. Prawdę mówiąc to nie znam jeszcze tych...

    DIY Konstrukcje   16 Gru 2025 04:07 Odpowiedzi: 2196    Wyświetleń: 290913
  • REKLAMA
  • Generator DDS na FPGA

    http://obrazki.elektroda.pl/4339422600_1... To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19932
  • [Zlecę] Projekt wyzwalacza fpga verilog pod płytke Tang Nano 9K spi

    Ostatnie pytanie czy sygnał sys_rst_n ma być połączony pod któryś z przycisków czy jest zewnętrznym sygnałem z układu?

    Projektowanie Bazar   09 Cze 2023 14:57 Odpowiedzi: 11    Wyświetleń: 501
  • Rzadko zadawane pytania: jak jedną linią GPIO zmierzyć temperaturę?

    https://obrazki.elektroda.pl/6203916300_... Pytanie: Jak mogę dokonać pomiaru wartości analogowej, jeśli w moim systemie pozostało tylko jedno GPIO na FPGA/mikrokontrolerze? Odpowiedź: Zamiast przetwornika analogowo-cyfrowego można zastosować przetwornik napięcia na częstotliwość. Ponieważ zapotrzebowanie na funkcje pomiarowe staje...

    Artykuły   13 Gru 2019 18:55 Odpowiedzi: 10    Wyświetleń: 2208
  • Verilog - generacja krótkiego impulsu po opadającym zboczu

    Dlaczego chcesz pobierać sygnał do pomiarów z cewki zapłonowej? Nie lepszym rozwiązaniem jest podłączenie się pod czujnik położenia wału?

    Programowalne układy logiczne   16 Mar 2013 16:05 Odpowiedzi: 10    Wyświetleń: 2676
  • FSM m3_state w ps2mouse Verilog z opencores – rola i wpływ na stabilność myszy

    m3 zapewnia, że po odebraniu poprawnych danych z interfejsu PS2 sygnał na wyjściu 'data_ready' będzie aktywny tak długo, aż nie zostanie ustawiony sygnał 'read' potwierdzający odczytanie danych. Pozdrawiam, Dr.Vee

    Programowalne układy logiczne   11 Gru 2008 22:56 Odpowiedzi: 2    Wyświetleń: 1041
  • Verilog - Jak zaprojektować sygnalizację świetlną? Bramki logiczne

    Czeeść wszystkim. Mam do stworzenia na zaliczenie projekt sygnalizacji świetlnej. Nie jest zawarte, jak bardzo musi być rozbudowana także przypuszczam tradycyjne skrzyżowanie z 4 sygnalizatorami. Jest mi ktoś w stanie pomóc? Ma być to pisane w Verilogu. Podczas zajęć uczono nas tworzyć bramki (przypisania),top i tb, ale potrzebuje logicznego rozłożenia,...

    Nauka Elektroniki, Teoria i Laborki   29 Sty 2019 19:39 Odpowiedzi: 4    Wyświetleń: 723
  • Jak zapisać asercje na stany maszyny stanowej w ISE bez wyprowadzania sygnałów?

    Dla ułatwienia napiszę kawałek kodu: [syntax=vhdl]entity main is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; signal_out : out STD_LOGIC; signal_in : in STD_LOGIC); end main; architecture Behavioral of main is signal licznik: std_logic_vector(7 downto 0); [...][/syntax]Załóżmy że "licznik" jest wykorzystywany w użytecznym kodzie i przechodzi syntezę....

    Programowalne układy logiczne   28 Lut 2015 23:39 Odpowiedzi: 2    Wyświetleń: 1686
  • REKLAMA
  • Jak dodać sygnał delta do pliku wyników w testbanchu?

    Witam Mam testbancha ktory zapisuje do pliku wyniki symulacji i chcialbym zeby w pliku tez sie znalazla delta. Jak mam dodac taki sygnal?? przepraszam bardzo ale o jakiego "tesbancha" chodzi ?? verilog ,vhdl, system c przypuszczam żę pewnie o vhdl-a ale wydaje mi się że z tą deltą to będzie mały problem bo z tego co wiadomo mnie to się tego po prostu...

    Programowanie   08 Sty 2006 19:34 Odpowiedzi: 3    Wyświetleń: 732
  • [epm 3064] verilog - generator pojedyńczego zbocza

    Witam! Potrzebuję generatora (chyba monostabilny), który wygeneruje mi podczas włączenia zasilania układu epm3064 tylko jeden impuls, a konkretnie to zależy mi na zboczu negatywnym, które wywoła jedną z funkcji always, a jest mi potrzebny tylko jeden impuls ponieważ ta funkcja będzie wywoływana negatywnym zboczem innego sygnału, gdyż w chwili włączenia...

    Programowalne układy logiczne   24 Wrz 2009 11:54 Odpowiedzi: 3    Wyświetleń: 1707
  • [verilog] Synchronizacja modułów w Verilog dla Xilinx Spartan 2 - problem z CNT_RST

    Mam problem z syntezą czegoś takiego w verilogu: http://obrazki.elektroda.net/85_12648663... Przepraszam za jakość rysunku( 3ci sygnał po lewej to GLOBAL_RST). Piszę dla Xilinx spartan 2, ActiveHDL symuluje układ tak jak chciałem, ale ISE 10.1 wyrzuca problem z sygnałem CNT_RST. Ma to działać tak: Zegar podłączony tylko do CNT zlicza przebieg...

    Programowalne układy logiczne   02 Lut 2010 10:15 Odpowiedzi: 1    Wyświetleń: 1610
  • UART: PC nie odbiera bajtu z FPGA Spartan, Verilog - co poprawić?

    W kodzie mój receiver. module rs232rx(RS_RX, clk, data, sw1); input RS_RX; input clk; input sw1; output [7:0] data; reg [11:0]cnt_rx = 0; reg [3:0] cnt_rx2 = 0; reg [8:0]frame; reg CLK_RX; reg frameEnd = 1'b0; reg recvStart = 1'b0; reg frameRecv = 1'b0; reg frameSend = 1'b0; assign data = frame[7:0];...

    Programowalne układy logiczne   28 Gru 2007 13:47 Odpowiedzi: 10    Wyświetleń: 1806
  • [Verilog][Modelsim] - Nie inicjalizuje zmiennych i nie propaguje sygnału

    Pogooglaj o bloku initial .

    Programowalne układy logiczne   07 Kwi 2014 11:58 Odpowiedzi: 3    Wyświetleń: 1923
  • VGA generator w Verilog na FPGA 50MHz – monitor nie wykrywa sygnału, ekran uśpiony

    Zastąp 'initial' jakimś resetem.

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4872
  • Czy procedury w maszynie stanów VHDL są syntezowalne?

    "]Jak na moje oko to program robiący syntezę "dał cienia" nie sadze, kompilator xilinx to stara, doswiadczona marka; to jest temat na wielogodzinna dyskusje z teoretykami symulacji i syntezy, jako praktyk powiem tyle: vhdl powstawal w czasach, gdy jeszcze fpga nie bylo na swiecie, powstal jako jezyk do modelowania wlasciwie czegokolwiek, niekoniecznie...

    Programowalne układy logiczne   15 Lis 2007 16:41 Odpowiedzi: 11    Wyświetleń: 1911
  • ALTERA Cyclone III - Karta graficzna - nakładanie się danych do zapisu z danymi

    Witam serdecznie, Zawsze fascynowały mnie karty graficzne i stosunkowo niska cena monitorów LCD w stosunku do możliwości. Patrząc na rozmaite wyświetlacze LCD, dedykowane do mikrokontrolerów wyszedłem z założenia, że przekątne mają niewielkie a cena jest z kosmosu. Często wychodzi że było by taniej kupić stary monitor LCD za 100zł niż kolorowy LCD 7"....

    Programowalne układy logiczne   16 Lis 2013 19:41 Odpowiedzi: 7    Wyświetleń: 3063
  • Jak wyłączyć optymizację w Lattice Diamond, by zobaczyć efekty syntezy?

    chcialbym zobaczyc pelny raport z kompilacji; Za chwilę. Przygotowuję prostszy kod w którym problem nadal występuje. jak jest generowany "Signal_1" ? To sygnał z zewnątrz. Nazwa Signal może jest myląca. To port wejściowy FPGA. Sygnał pochodzi z procesora ARM i jest czysty (ładny prostokąt). Może spróbuj wykomentować po kolei linie programu przypisujące...

    Programowalne układy logiczne   11 Paź 2019 08:54 Odpowiedzi: 34    Wyświetleń: 1134
  • FPGA Spartan - Jak zbudować układ z buforami 24-bitowymi w Verilogu?

    Kilka komentarzy co do kodu: [syntax=verilog]assign adr0 = (memnr==1'b0)? wpiszadres : 24'bz; assign adr1 = (memnr==1'b1)? wpiszadres : 24'bz; //zawsze gdy gdy b�dzie co� wpisane do wpiszdane wtedy dane b�d� wpisane do odpowiedniego bloku assign wdata0 = (memnr==1'b0)? wpiszdane : 24'bz; assign wdata1 = (memnr==1'b1)? wpiszdane...

    Programowalne układy logiczne   20 Lis 2015 08:08 Odpowiedzi: 7    Wyświetleń: 2430
  • Verilog - Jak unikać przypisań wielokrotnych w przypadku wielu sygnałów?

    Witam W verilogu zrobiłem kilka mniej lub bardziej skomplikowanych projektów. Zawsze mam jeden mały problem - zapominam się i robię przypisania wielokrotne. Kilkukrotnie spotykałem się z sytuacjami, w których jakaś zmienna musi się zmieniać pod wpływem kilku sygnałów zewnętrznych. Prosty przykład jaki mi przychodzi teraz do głowy to zegar. Pod wpływem...

    Programowalne układy logiczne   20 Maj 2015 13:01 Odpowiedzi: 1    Wyświetleń: 1491
  • Jak poprawnie podać sygnały wejściowe do FIR Compiler Altera w modulatorze sigma-delta?

    1. DDR jest na wyjsciu kosci, takze wszystko - caly design ma chodzic albo na rising albo na falling edge nie utrudniaj sobie zycia. 2. Nie operuj na variable, uzyj signal 3. Jeden proces/always - jeden wektor/sygnal To jest System Verilog: reg [31:0] shiftreg = 0; - wiedziales o tym? O resecie juz pisalem. Jesli nie wiesz jak wygladaja wzajemne relacje...

    Programowalne układy logiczne   01 Wrz 2008 11:45 Odpowiedzi: 26    Wyświetleń: 3094
  • Blok komparatorów dla kilku sygnałów wejściowych

    moze tak ? dzialanie jest chyba zrozumiale, nawet jesli ktos nie zna za bardzo verilog; module sorter ( input clk, input [7:0] d0,d1,d2,d3,d4,d5,d6,d7, output [2:0] ch, output [7:0] max ); reg [15:0] cmp01, cmp02, cmp03, cmp04, cmp11, cmp12, cmp2; reg [2:0] max_ch00, max_ch01, max_ch02,...

    Programowalne układy logiczne   27 Gru 2010 22:06 Odpowiedzi: 7    Wyświetleń: 1597
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Olaboga ale syf mialem teraz zauwazylem. faktycznie, ale druga wersja nie jest lepsza. nie wiadomo od czego zaczac... taki 'syf' - uzywajac twojej terminologii; 1.zapis [syntax=verilog] always (at)(posedge clk or negedge reset)[/syntax] oznacza, ze jeden z sygnalow jest zegarem dla synchronicznych przerzutnikow, drugi asynchronicznym zerowaniem/ustawianiem...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2571
  • Licznik w Verilogu - błąd przy syntezie, wielokrotne sterowanie rejestrem

    Mam problem z moim licznikiem. Chciałbym, żeby resetował się na dodatnim zboczu x1 ... podobny problem pojawia sie tu dosc czesto, wiec nieco bardziej lopatologicznie niz uczynil to piotrva ; VERILIG lub VHDL to NIE jest kolejny jezyk programowania jakiegos procesora z rodziny FPGA; FPGA NIE algorytm wykonania jakiejs funkcji; linijki: jednym bloku...

    Programowalne układy logiczne   21 Sie 2016 17:12 Odpowiedzi: 2    Wyświetleń: 3456
  • Tworzenie własnych komponentów LCD w WebPACK_SFD_91i bez VHDL

    wydaje mi się, że taki bloczek jesteś w stanie stworzyć jedynie w VHDL/Verilog, gdzie jako dodatkowe argumenty do sygnałów podasz nr pinów

    Programowalne układy logiczne   11 Lip 2007 18:51 Odpowiedzi: 5    Wyświetleń: 1629
  • [verilog] Implementacja resetu dla czerwonych świateł w symulacji drogowej

    ... zrobic reset w tych swiatlach aby swiatla ns i ew swiecily sie na czerwono w sytuacji gdy mamy stan resetu?? 1. modul traffic chyba powinien miec clk i reset jako input? 2. nie mozesz sterowac ten sam sygnal w kilku blokach always if (nsCounter == 31 & EW_VEHICLE_DETECT & NS_GREEN) begin NS_RED <= 0; //...... always (at) (ewCounter)...

    Programowalne układy logiczne   09 Lip 2019 14:25 Odpowiedzi: 12    Wyświetleń: 939
  • Verilog - SPI z automatycznym zatrzaskiem, przesunięcie bitów w prawo

    Cóż, może ja sie nie znam, ale wydaje mi sie, że reset maszyny stanu (w tym przypadku licznika) powinien wystąpić na zbocze opadające CSa. Rozkminiam, jakbym ja miał zbudować ten układ na logice dyskretnej (do pewnego stopnia, oczywiscie). Deserializer w skrócie: 1) na opadający CS, resetuję licznik i przerzutnik typu D taktujący 'wyjscie' 2) na kazdy...

    Programowalne układy logiczne   24 Cze 2013 13:51 Odpowiedzi: 10    Wyświetleń: 3099
  • Verilog - jak stworzyć 16-bitowy multiplekser z nietypowym kierunkiem danych?

    Ten mux to nie mux a bardziej coś jakby latch, w zależności od sygnału select dane są przepisywane albo do rejestru pośredniego albo z rejestru pośredniego na wyjście. Wydaje mi się że najlepiej będzie to opisać przy pomocy logiki synchronicznej, która co impuls zegara sprawdza stan sygnału sterującego i przepisuje wartość.

    Programowalne układy logiczne   15 Kwi 2009 09:16 Odpowiedzi: 9    Wyświetleń: 1379
  • Jak łagodnie wejść w świat CPLD/FPGA dla programisty C?

    Bym się rozpisał na ten temat, ale może kiedy indziej :P Dla fanów C/C++: "The Design Warriors Guide to FPGAs" i wiele innych.

    Programowalne układy logiczne   29 Lip 2012 15:29 Odpowiedzi: 6    Wyświetleń: 3171
  • Ile średnio zarabia junior, mid i senior FPGA DESIGN ENGINEER?

    ... piszę do Pana ponownie z ofertą FPGA Developera do działu R&D przy projekcie nowego zasilacza dla rynku półprzewodników. Może teraz byłby Pan zainteresowany zmianą? Stawka dzienna do 💲 1100 zł/day netto +VAT 💲 na B2B. Możliwość zatrudnienia u klienta na UoP. 💾Stos technologiczny: FPGA, ASIC, VHDL, MatLab/ SimuLink/ Python,...

    Projektowanie i Tworzenie Po godzinach   10 Maj 2022 08:44 Odpowiedzi: 10    Wyświetleń: 3924
  • Jak zresetować licznik mod 5 z przerzutników D? Sygnał resetu dla cyfry 4

    A w jakim języku to piszesz, VHDL, Verilog, czy może rysujesz schemat? W jakim programie testujesz?

    Projektowanie Układów   29 Maj 2012 17:19 Odpowiedzi: 5    Wyświetleń: 2356
  • [CPLD] Jak zwiększyć czas propagacji w CPLD XC95144XL dla sygnałów AS, R/W, UDS, LDS?

    Niestety, ale przykład z tego dokumentu nie działa, przynajmniej w symulacji, próbowałem też w constrain editor i nic się nie zmienia. Edytor dodaje następujące dane: [syntax=verilog]NET "clk7" TNM_NET = clk7; TIMESPEC TS_clk7 = PERIOD "clk7" 140 ns HIGH 50%; NET "as7_out" OFFSET = OUT 50 ns AFTER "clk7";[/syntax] Czy połączenie "sygnał wyjście -> wejście...

    Programowalne układy logiczne   24 Wrz 2011 18:34 Odpowiedzi: 8    Wyświetleń: 1853
  • Jak przekazywać sygnały między procesami w VHDL dla zegara jajek?

    Nie uwazam ze testowanie jest do bani ;) Tylko ze w VHDLu jest to troszke niewygodne ze wzgledu na rozwleklosc jezyka. PLI = programming language interface, czyli polaczenie Verilog<>C/C++. Bardzo wygodne do testowania. Ostatnio np. robilem prosty "akcelerator" graficzny. Fajnie jest zobaczyc natychmiast czy rysuje to, co chcemy na symulacji ;)...

    Programowalne układy logiczne   08 Gru 2006 11:06 Odpowiedzi: 16    Wyświetleń: 2833
  • System Verilog i struct. Connection type is incompatible with port.

    Mi to się kompiluje (i symuluje), po dopisaniu paru linii. Podłączasz u siebie sygnały "wprost" (zamiast .port(sygnal)), może masz gdzieś kolejność pomieszaną? Możesz też użyć interfejsu zamiast struktury (do tego zresztą służą): [syntax=verilog] interface common_if; logic clk, rst; modport in(input clk, input rst); modport out(output clk, output rst);...

    Programowalne układy logiczne   14 Gru 2011 23:01 Odpowiedzi: 8    Wyświetleń: 2339
  • WebPack - brak automatycznego generowania sygnału zegarowego w symulacji

    Nie wiem jak teraz ale dawniej trzeba było ModelSima sciągać osobno. Powinien być dostępny ze stron Xilinxa, darmowa jest wersja Xilinx ModelSim III Starter. Po zainstalowaniu trzeba podać w ISE scieżkę do niego. Robisz to w zakładce: Edit-Preferences-ISE General-Integrated Tools. Możesz też w projekcie zmienić symulator na wbudowany w ISE. Robisz to...

    Programowalne układy logiczne   15 Paź 2009 07:26 Odpowiedzi: 20    Wyświetleń: 2682
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 36085
  • Kurs FPGA Lattice MachXO2 w języku Verilog: publikacja w Elektronice Praktycznej i na GitHubie

    Chciałbym zaprosić wszystkich zainteresowanych do mojego kursu FPGA Lattice w języku Verilog. W kursie wykorzystujemy FPGA typu MachXO2 z uwagi na jego niską cenę, prostą do lutowania obudowę i duży potencjał w wykorzystaniu na potrzeby hobbystycznych projektów. Kurs jest publikowany w Elektronice Praktycznej, a także dostępny jest na stronie ep.com.pl....

    Programowalne układy logiczne   09 Mar 2024 12:50 Odpowiedzi: 18    Wyświetleń: 2961
  • Xilinx ISE Design Suite - Błędne wyniki testbench licznika do 3

    Programik ten ma za zadanie zliczać ile razy na wejściu był sygnał/.../ a jeżeli doliczy do stanu 11 ma na wyjściu podać sygnał wysoki przyjrzales sie ostrzezeniom kompilatora? moim zdaniem ten kod w ogole nie powinien sie skompilowac, a jesli udalo sie, zastanawiam sie jak kompilator to zrozumial; always (at) (negedge WE_licz) if (!WE_licz) jest to...

    Programowalne układy logiczne   12 Cze 2014 19:21 Odpowiedzi: 6    Wyświetleń: 2292
  • Podstawy związane z FPGA... (środowisko + literatura).

    1. Czy np. na Altere można pisać programy w języku wysokiego poziomu (jak C++ czy Python) i później to jakoś przekonwertować na VHDL? Czy w grę wchodzi tylko VHDL (oraz Verilog i podobne)? Nie da się połączyć w taki sposób języka do programowania współbieżnego z językiem do programowania sekwencyjnego. Nie wiem czy można tak radykalnie odpowiedzieć...

    Programowalne układy logiczne   23 Lis 2014 13:48 Odpowiedzi: 8    Wyświetleń: 2808
  • [Verilog] Modyfikacja kodu skaler HQ2X - zdalna pomoc w przeróbce dla projektu FPGA

    Witam, Potrzebuję zmodyfikować kod upskalera obrazu 2x, a dokładnie HQ2X zastosowanego w kopii konsoli NES opartej o układ FPGA. Kod jest dostępny tutaj: https://github.com/strigeus/fpganes/blob... Kod ma być zastosowany w zupełnie innym projekcie niezwiązanym z konsolą NES i dlatego potrzebuję go dostosować do własnych potrzeb. Aby go...

    Programowalne układy logiczne   01 Kwi 2023 22:23 Odpowiedzi: 2    Wyświetleń: 462
  • Quartus - jak ustawić początkowe stany przerzutników D w Verilog?

    w jaki sposób mogę w designerze ustalić jakie wartości na wyjściach mają mieć przerzutniki na początku działania układu? Przydałby się sygnał reset. Przykłady np. tu: http://www.interfacebus.com/Design_VHDL.... Pzdr TWl

    Programowalne układy logiczne   16 Gru 2011 17:44 Odpowiedzi: 1    Wyświetleń: 1499
  • Jak przekazywać wartości między modułami w Verilog? Analizator Stanów Logicznych

    Jeśli to ma być struktura syntezowalna to nie może być tam polecenia initial. Ogólnie wartości początkowe możesz ustawiać asynchronicznym resetem. Proces wówczas powinien tak wyglądać: always (at)(posedge clk or negedge rst) //reakcja na narastające zbocze begin //zegara lub niski stan rst if (~rst) licznik = 16'b0; else begin licznik...

    Programowalne układy logiczne   04 Cze 2007 14:35 Odpowiedzi: 8    Wyświetleń: 2774
  • [verilog] [Verilog] Jak użyć if w bloku specify do sprawdzenia czasu sygnału CS?

    Witam, Potrzebuje instrukcji która wykona coś takiego: [syntax=verilog]specify if (Zapis) $width(posedge CS, tcshw); endspecify[/syntax] Czyli instrukcji ktora sprawdzi czy sygnał CS trwał minimum czas tcshw, ale zrobi to tylko jeśli linia Zapis jest w stanie wysokim. Powyższa instrukcja oczywiście nie działa. Ma ktoś jakiś pomysł jak to zrobić?

    Mikrokontrolery   20 Sie 2011 11:27 Odpowiedzi: 0    Wyświetleń: 471
  • FPGA/CPLD Rozbieżność między symulacją a pracującym układem

    Cóż, oczywiście myślę, że poprawna jest moja wersja. I potwierdzają to podesłane później linki. Wydaje mi się także, że Twój sposób rozumowania wynika z utożsamiania listy czułości procesu i bloku always z verilog'a. Więc chyba każdy z nas pozostanie przy swoim. A głupi automat rozumie nas obu ;-) https://obrazki.elektroda.pl/8988222100_...

    Programowalne układy logiczne   23 Mar 2020 16:26 Odpowiedzi: 18    Wyświetleń: 1110
  • Przesunięcie sygnału o 90 stopni w DSP Quartus dla 0-100 Hz

    W Matlabie można zaprojektować odpowiedni filtr (HDL Coder napisze go za nas w VHDLu lub Verilogu). Im wyższy rząd filtru tym bardziej płaska charakterystyka amplitudowa. Oczywiście można poddać taki filtr symulacji. Nie wiem jak obliczeniowo poradzi sobie FPGA z filtrem Hilberta wyższego rzędu (nie znam się) ale można spróbować. W załączniku kilka...

    Programowalne układy logiczne   27 Mar 2008 14:06 Odpowiedzi: 12    Wyświetleń: 3913
  • Jak napisać blok opóźniający w Verilog dla PSoC/FPGA?

    Dzięki za pomoc ale problem rozwiązałem zupełnie inaczej. Blok ten był mi potrzebny do generowania sygnałów sterujących dla tranzystorów w mostku. Polegać to miało na tym że przychodził PWM z zewnątrz i na bazie jego wygenerować 2 sygnały dla tranzystorów wraz z deadtime . I właśnie ten blok miał realizować deadtime. Jednak wadą było że potrzebowałem...

    Programowalne układy logiczne   01 Paź 2014 18:43 Odpowiedzi: 2    Wyświetleń: 2034
  • machxo2/verilog - nie działają połączenia wire między licznikami - początkujący

    :) Takie oczywiste oczywistości oczywiście przemyślałem - na wyprowadzenie m_clk podawany jest sygnał z generatora sterowanego komputerowo o częstotliwości 2Hz i wypełnieniu 50% - taki banał od jednego dnia bym zauważył, poza tym wtedy powiedziałbym też, że wersja w której 2 liczniki działają równolegle też nie działa ;)

    Programowalne układy logiczne   30 Mar 2013 00:09 Odpowiedzi: 15    Wyświetleń: 2937