quartus

Znaleziono około 505 wyników dla: quartus
  • Jak rozwiązać ostrzeżenie stuck clock_enable w Quartus?

    /.../ 1. skompiluj modul ctrl_reg_i jako 'top-level', jesli ostrzezenia nie znikna - problem w tym module, jesli tak - w polaczeniach miedzy; 2. znajdz wszystkie sygnaly, ktore maja wplyw na 'clock_enable' i jeden po drugim odlaczaj je od logiki a lacz jako pin, jesli w pewnym momencie problem zniknie, bedziesz wiedzial ktory sygnal jest zle podlaczony,...

    Programowalne układy logiczne   28 Lip 2008 11:45 Odpowiedzi: 2    Wyświetleń: 1146
  • [Quartus] - Jak zobaczyć schemat logiczny po syntezie projektu?

    Co to znaczy "do takiej postaci"? Z tym RTLem to jest tak, że jest to pewien poziom abstrakcji. To, że widzisz muxa, to znaczy że jest on zrobiony na odpowiednich blokach w samym FPGA.

    Programowalne układy logiczne   03 Mar 2013 23:11 Odpowiedzi: 4    Wyświetleń: 3252
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • [Quartus][VHDL] - Jak zrobić układ mając tablicę prawdy?

    Dla VHDL przy problemie NB na BCD wystarczy mapowanie przy pomocy switch case lub if else if ... nie idź w kierunku tablicy prawdy, a jak już to należało by ją zredukować za pomocą [url=http://pl.wikipedia.org/wiki/Metoda... Karnaugh Poszukaj trochę na forum było kila razy to już: [url=http://www.elektroda.pl/rtvforum/vi...

    Programowalne układy logiczne   30 Kwi 2014 08:45 Odpowiedzi: 2    Wyświetleń: 2049
  • REKLAMA
  • EPM240 - pierwszy projekt licznika 8-bitowego w Quartus

    "Warning (332174): Ignored filter at SDC1.sdc(12): clk could not be matched with a clock" W projekcie wejście zegarowe nazywa się CLK, a nie clk. "Warning (332049): Ignored set_input_delay at SDC1.sdc(12): Argument -clock is not an object ID " Tutaj ta sama uwaga. "Warning (332049): Ignored set_output_delay at SDC1.sdc(20): Positional argument: object_list...

    Programowalne układy logiczne   28 Mar 2017 21:08 Odpowiedzi: 5    Wyświetleń: 2994
  • Jak zmienić domyślny czas symulacji na 5us w Quartus?

    Witam Wchodzisz w Processing->Simulator Tool Tam jest opcja Simulation Period i wybierasz End Simulation at wpisując docelową wartość. Oprócz tego musisz wejść w Tools->Options for Waveform Editor i ustalić czas końcowy pliku w którym definiujesz wymuszenia. Pozdrawiam

    Programowalne układy logiczne   13 Mar 2008 12:55 Odpowiedzi: 3    Wyświetleń: 1579
  • REKLAMA
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Do słowa symulacja zniechęciła mnie uczelnia...nie widząc rzeczywistego urządzenia. jak chcesz, patrzac w rzeczywiste urzadzenie nie widzisz nic ciekawszego niz migajaca Nie wiem co jest grane przy kompilacji pojawia mi się błąd, że outputs are stuck - niektóre pod Vcc a jeden pod GND nie wiedzieć czemu w koncowym raporcie [tej kartce na glownym oknie...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2550
  • Quartus Prime Lite na Linux Mint - błędy w konfiguracji perla?

    Miałem identyczny problem, tyle, że pod Archem. Okazuje się, że z jakiegoś powodu podsystem perla dołączony do Quartusa szuka bibliotek pod /tools/perl a nie tam, gdzie zainstalowano Quartusa. Rozwiązanie może mało eleganckie, ale działa: [syntax=bash]sudo mkdir -p /tools/perl/5.28.1/linux64/ sudo ln -sf /home/<nazwa_użytkownika>/intelFPG...

    Programowalne układy logiczne   12 Lis 2019 06:47 Odpowiedzi: 2    Wyświetleń: 537
  • Dodatkowe impulsy w symulacji CPLD EPM3064ATC44-10 w Quartus II

    pomimo tego że licznik jest synchroniczny to i tak pojawią się hazardy problem nie w liczniku czy dekoderze, te kawalki wygladaja na napisane poprawnie, masz klopot, bo wejscia zegarowe rejestrow D polaczyles z wyjsciami ukladu kombinacyjego; to jest wlasciwie wbrew 'zasadom sztuki'; jesli bedziesz w stanie opisac co chcesz uzyskac tak, bym to zrozumial,...

    Programowalne układy logiczne   08 Maj 2008 14:44 Odpowiedzi: 8    Wyświetleń: 2943
  • Altera DE2 Cyclone II - Zegar na wyświetlacz siedmiosegmentowy, Quartus II

    mam nadzieje, ze wiesz jakiej czestotliwosci zegar jest na plytce, i w jakim jezyku masz to napisac; A. -deklarujesz odpowiednio duzy rejestr i dzielisz wejsciowy zegar tak, by dzielnik dawal impuls co sekunde; - zliczasz sekundowe impulsy licznikiem modulo 60, przejscie miedzy 59 a 0 to impuls minutowy - zliczasz impulsy minutowe licznikiem modulo...

    Programowalne układy logiczne   15 Lut 2014 22:53 Odpowiedzi: 5    Wyświetleń: 3207
  • Symulacja wyświetlacza 7-segmentowego w Quartus II - krok po kroku

    Witam Na stronie Altery znajdziesz sporo materiałów które pomogą Ci nauczyć się podstaw obsługi Quartusa. Poniżej link. http://altera.com/education/univ/materia... Pozdrawiam

    Programowalne układy logiczne   14 Gru 2008 14:14 Odpowiedzi: 9    Wyświetleń: 4161
  • Jak zsyntezować procesor w Quartus II 7.0 i zaprogramować FLEX 10K20?

    Witam! Na wstepie dodam ze dopiero zaczynam z ukladami programowalnymi takze jakby co to nie krytykujcie mnie zbyt mocno. Mam pewien problem a dokladnie chodzi mi o to ze mam napisany prosty procesor w Active-HDL-u, pliki .vhd i block diagram .bde. To co napisalem mam zsyntezowac w Quartusie a pozniej zaprogramowac FLEX-a 10K20... <- ukladzik jest...

    Programowalne układy logiczne   23 Maj 2007 09:03 Odpowiedzi: 3    Wyświetleń: 1833
  • REKLAMA
  • VHDL: Implementacja stosu z operacjami push i pop w Quartus II

    (at) Mroowa1990 to nie najmniejszego sensu. Stworzyłeś zwykły zatrzask (bo pominąłeś wszystkie możliwości stanu LEDR przy wszystkich stanach op , jakbyś je wymienił miałbyś układ kombinacyjny). Przez syntezę to na przykład nie przejdzie, brakuje Tobie reszty możliwości, np na końcu case when others => null; w celu stworzenia zatrzasku. Twój opis...

    Programowalne układy logiczne   20 Kwi 2012 20:56 Odpowiedzi: 4    Wyświetleń: 2149
  • Jak pobrać Quartus Prime Lite dla użytku domowego lub alternatywy dla FPGA Altery?

    Może to banalny problem, ale jakoś nie mogę go obejść. /.../ I tu mamy do wyboru: duża firma i mała firma. Niestety nie jestem ani jedną ani drugą. A. wybierz/wpisz cokolwiek, to zwykła formalność. J.

    Programowalne układy logiczne   25 Mar 2019 22:28 Odpowiedzi: 2    Wyświetleń: 831
  • Procesor 8051 w Verilog - błąd inout (bidir) w Quartus, jak rozwiązać?

    Przy projektowaniu układów w verilogu lub vhdlu nie stosuje się portów inout poniewaz jak sam zauwazyłeś są one po prostu niesyntezowalne.. co więc robic ?? ano musisz po prostu osobno zapisac port wyjściowy osobno port wejściowy -- jako osobne wejście wyjście... Tak się robi poszukaj sobie przykładów na www.opencores.com, jak procesor będzie gotowy...

    Mikrokontrolery   25 Paź 2005 09:35 Odpowiedzi: 1    Wyświetleń: 1521
  • [Cyclone] Quartus - Ostrzeżenia przy implementacji VHDL dla Cyclone, latch na sygnale f

    Brakuje: else f <= '0';

    Programowalne układy logiczne   19 Cze 2009 16:13 Odpowiedzi: 2    Wyświetleń: 1580
  • Przesunięcie sygnału o 90 stopni w DSP Quartus dla 0-100 Hz

    Idealnego filtru Hilberta nie zrealizujesz w praktyce. Da się jedynie zrobić taki filtr dla pewnego zakresu częstotliwości i odpowiedniego rzędu aby charakterystyka amplitudowa była w miarę płaska w zadanym zakresie. Bo po co Ci filtr idealny jeśli masz jakieś swoje, zadane próbkowanie, które jest sporo niższe od nieskończoności;) Wszystko możesz dobrać...

    Programowalne układy logiczne   27 Mar 2008 14:06 Odpowiedzi: 12    Wyświetleń: 3886
  • FPGA na początek, kilka pytań od "zielonego"

    VHDL mi się osobiście nie podoba, ja nie cierpie vhdl, choc musze z nim pracowac, verilog jest znacznie bardziej 'user-friendly'; Modelsim był pobierany razem z quartusem w wersji 13sp1, modele tzw. 'primitives' powinny byc: <install_dir>\quartus\eda\sim_lib\ to po prostu pliki *.vhd i *.v 'na oko' bedziesz potrzebowal skompilowac 220model.v,...

    Programowalne układy logiczne   09 Wrz 2019 20:42 Odpowiedzi: 36    Wyświetleń: 2466
  • Jak zmusić Quartusa do użycia clock enable w przerzutniku D?

    czemu zamiast korzystac z portu clock enable program zuzywa niepotrzebnie jedno z wejsc do celi logicznej ? nie wiem, ale nie sadze, by w alterze pracowali nowicjusze, wiec pewnie maja swoje powody, w koncu oni najlepiej znaja swoje kostki; zawsze mozna wyslac pytanie do ich support, odpowiadaja dosc szybko, ew. pogooglic; /.../mam jeszcze jedno pytanie/.../...

    Programowalne układy logiczne   07 Sty 2008 10:00 Odpowiedzi: 9    Wyświetleń: 2927
  • Jak zaprojektować cyfrowy obwód do komunikacji I2C-bus? Od czego zacząć?

    chcialbym zaprojektowac cyfrowy /.../ Od czego powinienem zaczac? skoro piszesz na te grupe, to zakladam ze interesuje Cie kontroler i2c w jakims fpga/cpld; ja bym polecal taka sekwencje dzialan: 1.instalacja jednego z 2 najpopularniejszych programow do programowania fpga ise lub quartus, [jak wszyscy stali bywalcy grupy wiedza moim typem jest quartus]...

    Programowalne układy logiczne   18 Lip 2008 22:30 Odpowiedzi: 9    Wyświetleń: 4188
  • Alternatywa dla MultiSim do symulacji układów logicznych - jaki program?

    My na technice cyfrowej używamy oprogramowania Quartus, do układów altery no ale to ponad 1GB zajmuje.. Ale ogólnie całe oprogramowanie bardzo wszechstronne, posiada symulacje, mógłbyś się tym pobawić.

    Początkujący Elektronicy   14 Maj 2010 22:10 Odpowiedzi: 6    Wyświetleń: 2320
  • Chipscope nie działa w Synplify 8.9 - problem z IP CORY: ICON i ILA

    /.../Jednak kiedy syntezuję układ używając Synplify 8.9/.../ ISE to co prawda nie moja dzialka, ale w quartus jest podobnie, nie da sie zrobic syntezy projektu z SignalTap - odpowiednikiem Chipscope Xilinx - za pomoca innego narzedzia niz quartus; z pewnoscia w dokumentacji Chipscope jest informacja jak postepowac w takim przypadku, moja sugestia jest...

    Programowalne układy logiczne   29 Gru 2008 16:52 Odpowiedzi: 2    Wyświetleń: 1046
  • Altium Designer 6 i płytka testowa z układem FPGA Altery

    Zgaduje: Versions supported: 3.0 - 9.1 inclusive A na chwilą obecną Quartus II ma numerek v10.1. Więc pewnie jakiś bubel programistyczny co na sztywno sprawdza wersję. Bardziej by Ci się opłacało ładować bitstream'a przez Quartus'a i klona USB-Blaster niż bawić się w ten wynalazek od Altiuma.

    Programowalne układy logiczne   20 Kwi 2011 17:16 Odpowiedzi: 3    Wyświetleń: 2023
  • Język programowania do nauki DSP i FPGA – VHDL czy inny? Polecana literatura

    Również jeśli chodzi o FPGA to polecam VHDL'a.. Dosyć dobrą książką na start z VHDL'em jest "Język VHDL w praktyce" Józefa Kalisza. Natomiast alternatywnym do Xilinx'a środowiskiem do pisania i symulacji FPGA jest Altera ze swoim darmowym Quartus II Web Edition.

    DSP i Transmisja   05 Cze 2010 10:05 Odpowiedzi: 7    Wyświetleń: 4395
  • ALTERA 3064 - generowanie przebiegu prostokątnego, amplituda 5-10V, 50% wypełnienia

    ten fragment jest wycięty ze sterownika UART'u o prędkości 9600kbps (stąd akurat zegar 9600Hz), który zrobiłem, poza tym tej metody używałem we wszystkich programach, w których potrzebowałem zegara o stałej częstotliwości, w Xilinx ISE (o ile się nie mylę odpowiednik Quartus II) nie można uzyskać z VHDL schematu elektrycznego, ale można wygenerować...

    Programowalne układy logiczne   19 Cze 2007 09:06 Odpowiedzi: 14    Wyświetleń: 3362
  • Dostępność pakietu MAX+plus II i programowanie układów ALTERA EPM7064LC bez literki 'S'

    Ze strony Intel/Altera mozna sciagnac wczesniesze wersje Quartusa.

    Programowalne układy logiczne   12 Lip 2023 16:02 Odpowiedzi: 5    Wyświetleń: 411
  • jednoczesny odczyt i zapis do/z kolejki fifo w vhdl

    przeczytam dzis wieczorem w domu opis tego modulu DCM u xilinxa, a nuz plote bzdury ... przeczytalem, nie znalazlem wyjasnienia wprost, ale wydaje sie, ze mam racje, ze ise oblicza potrzebne opoznienie w ns na podstawie okresu zegara wejsciowego, podobnie jak quartus altery; altera tez, nawiasem mowiac, nie podaje tej informacji otwartym tekstem, trzeba...

    Programowalne układy logiczne   15 Lis 2007 17:23 Odpowiedzi: 57    Wyświetleń: 7438
  • Quartus - licznik na wyświetlaczu siedmiosegmentowym

    Witaj. Możesz wzorować się na tym projekcie: http://mikrokontrolery.blogspot.com/2011...

    Programowalne układy logiczne   20 Sty 2014 11:27 Odpowiedzi: 3    Wyświetleń: 2934
  • MAX10 - QUARTUS nie chce obsługiwać układu z płytki BEMICROMAX10

    Witam Mam taki problem zakupiłem z ARROW płytkę BEMICROMAX10 podobno quartus w darmowej wersji obsługuje wszystkie układy MAX10 a tutaj taki kwiatek: [syntax=xml]Info: Running Quartus II 64-Bit Fitter Info: Version 14.1.1 Build 190 01/19/2015 SJ Web Edition Info: Processing started: Sun Feb 01 21:14:41 2015 Info: Command: quartus_fit --read_settings_files=off...

    Programowalne układy logiczne   12 Lut 2015 14:14 Odpowiedzi: 1    Wyświetleń: 1797
  • symulacja układów asynchronicznych- ModelSim i Quartus

    w jaki sposób uniknąć propagacji X'ow/.../ jedyne co mi sie udalo znalezc, to ustawienie: Settings -> EDA Tool Setting -> Simulation po prawej wybierz Modelsim, klik na 'More Settings' i tam jest opcja: 'Disable setup and hold...' pelna nazwa sugeruje jednak, ze opcja ta dotyczy jedynie input pins, nie wszystkich przerzutnikow; czy da sie to wylaczyc...

    Programowalne układy logiczne   26 Lut 2009 17:44 Odpowiedzi: 4    Wyświetleń: 1980
  • Czy XUP USB-JTAG Progr. Cable jest potrzebny do FPGA Spartan 3?

    Polecam środowisko Altium Designer - oczywiście Altium umożliwia projektowanie FPGA dopiero po zainstalowaniu określonego środowiska typu Xilinx ISE, Actel Libero czy Altera Quartus. Próbowałem zgłębić Xilinx ISE, ale gorzej "skomplikowane" chyba już być nie może. Wygląda, jakby do środowiska z prehistorycznych czasów ciągle dobudowywano pewne "dostawki"....

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9684
  • Quartus Jak włączyć symulacje i dodać sygnały.

    A w necie coś ciężko znaleźć "idiot's guide" do napisania testbencha w quartusie nie ma 'testbencha w quartusie', testbench to standardowa metoda generowania wymuszen w kazdym symulatorze, nawiasem mowiac to po prostu plik vhdl lub verilog; chcesz sie zajmowac fpga, musisz to opanowac; na poczatek mozesz sciagnac od altery https://www.altera.com/download/archives...

    Programowalne układy logiczne   15 Maj 2011 11:50 Odpowiedzi: 5    Wyświetleń: 2536
  • Porównanie środowisk Quartus II i MAX+plus II firmy ALTERA

    MAX to antyk, można nim tworzyć konfiguracje tylko dla starych układów więc od razu możesz sobie go darowć, ale jesli chcesz tylko sobie posymulować to może być, choć Quartus ma wspaniały symulator i tylko do symulacji to ja również wybrał bym Quartusa.

    Programowalne układy logiczne   30 Paź 2008 00:38 Odpowiedzi: 4    Wyświetleń: 2931
  • Quartus i szyna danych. Jak przyporządkować bity?

    Jak przyporządkować z magistrali q http://obrazki.elektroda.net/64_12459347...

    Programowalne układy logiczne   26 Cze 2009 12:57 Odpowiedzi: 1    Wyświetleń: 1307
  • Jak wyświetlić dziesiątki i setki na wyświetlaczu 7-seg w Quartus?

    Dzień dobry, mam mały problem tj. jestem studentem I roku informatyki w trybie niestacjonarnym - na przedmiot technika cyfrowa (którego zajęcia z powodu padnemii odbyły się tylko raz) muszę wykonać kilka zadań w ramach zaliczenia. Jestem zupełnie zielony w temacie jednak od piątku udało mi się trochę nauczyć - proste układy kombinacyjne, sterowniki...

    Początkujący Elektronicy   17 Maj 2020 19:23 Odpowiedzi: 1    Wyświetleń: 1260
  • QUARTUS 21.1.0 -> Cyclone V płytka DE0 TCL script file not found

    Dzień dobry, Mam taki komunikat : Warning (125092): Tcl Script File xx.qip not found Info (125063): set_global_assignment -name QIP_FILE xx.qip Projekt był zrobiony na tej samej wersji kompilatora , jednak teraz mam powyższy komunikat. W internecie jest kilka opisów problemu ale żaden nie rozwiązuje mojego problemu. Pozdrawiam

    Programowalne układy logiczne   30 Sty 2023 12:34 Odpowiedzi: 1    Wyświetleń: 438
  • Quartus II - Rejestry. Co wyłączyć, żeby nie redukował rej.?

    input clk, rst; input [7:0] d; output reg [7:0] q; always(at)(posedge clk or posedge rst) begin if(rst) q <= 8'b00000000; else q <= d; end Oto przykładowy program w verilogu typowego rejestru po kompilacji redukuje , więc gdzie tu morze d ustawiać na zero.

    Programowalne układy logiczne   11 Wrz 2007 08:02 Odpowiedzi: 3    Wyświetleń: 1644
  • VERILOG - Zerowa iloość logicznych elementów w QUARTUS

    Mam problem. Napisałem w QUARTUS'ie program w języku VERILOG. Prgram kompiluje się bez błędów ale kompilator podaje zerową ilość wykorzystanych elementów logicznych. Nie wiem gdzie popełniłem - błąd proszę o pomoc.

    Programowalne układy logiczne   02 Lut 2013 01:02 Odpowiedzi: 3    Wyświetleń: 1287
  • Jak ustawić plik wejściowy do symulacji w Quartus II?

    Witam Napisałem mały projekt w VHDL korzystając z kompilatora Quartus II. Skompilowało się bez błędów. Następnie chciałbym przesymulować i jak wybieram "Start simulation" mam komunikat: "No input file asignment specified on Simulator page of the Settings Dialog box" Jestem bardzo początkującym.. Chodzi chyba o to, że zanim się zrobi symulację trzeba...

    Programowalne układy logiczne   05 Sty 2008 01:28 Odpowiedzi: 1    Wyświetleń: 4220
  • Symulacja czasowa i odczyt maksymalnej częstotliwości w Quartus II

    Jak w wykonać symulację czasową? Jak można odczytać maksymalną częstotliwość projektowanego układu?

    Programowalne układy logiczne   24 Sie 2013 20:11 Odpowiedzi: 1    Wyświetleń: 1872
  • VHDL Quartus II - Jak stworzyć automat do sprzedaży napojów?

    Napisz algorytm pracy, co się dzieje w poszczególnych chwilach życia układu, a potem podziel to na bloki realizujace funkcje i automat sterujący całością.

    Początkujący Elektronicy   10 Lut 2008 20:22 Odpowiedzi: 1    Wyświetleń: 1035
  • Darmowa wersja Quartus i NIOS II – gdzie pobrać i jakie są ograniczenia?

    Witaj. Ograniczenia wersji edukacyjnej są następujące: [1] napewno da sie zaprogramowac fpga alterowa z flasha [2] ja bym wysledzil (nie wiem jak sie nazywa odpowiednik chipscopa dl altery ale cos takiego istnieje na 100%) gdzie jest ten modul ktory blokuje proca, nastepnie z odpowiednika fpga_editora lub fpga_floorlplana alterowego ustawil ten sygnal...

    Programowalne układy logiczne   27 Lut 2007 10:06 Odpowiedzi: 6    Wyświetleń: 2361
  • Projekt układu całkującego funkcje wielu zmiennych na Cyclone 2 w Quartus

    [url=http://rtfm.killfile.pl/]Odpowiedź 1 [url=http://www.elektroda.pl/rtvforum/fa... 2 A tak po za tym, nie wiadomo o co Ci chodzi. Koszyk na gotowce już został wypróżniony dawno temu, czekamy na tak zwany wkład własny, któremu poświęciłeś tyle czasu nad analizowanym problemem.

    Programowalne układy logiczne   27 Maj 2012 20:23 Odpowiedzi: 1    Wyświetleń: 2506
  • Quartus – gdzie znaleźć polską instrukcję lub opis funkcji programu?

    witam ma ktos z was jakis opis w jezyku polskim do programu quartus, moze na laborkach uzywaliscie tego programu

    Programowalne układy logiczne   12 Gru 2006 12:54 Odpowiedzi: 2    Wyświetleń: 1643
  • Quartus II - forcing unknown na wyjściu bramki AND, jak to naprawić?

    W trakcie robienie wykonywania instrukcji z laborki napotkałem problem. Na wysciu bramek obojętnie jaki bedzie układ zawsze pojawia się "forcing unknown"-wymuszenie nieznane. Wykonałem więc najprostszy układ jaki można typu jest bramka AND z 2 wejściami 1 wyjściem gdy robię najprostszą symulacje, wynikiem na wyjściu LEDR http://img571.imageshack.us/img571/4294/...

    Programowalne układy logiczne   25 Lut 2011 11:21 Odpowiedzi: 2    Wyświetleń: 1271
  • MAX7000 - EPM7064LC44 - Jakie środowisko i programator

    Witam! O ile się nie mylę, układy z rodziny MAX7000 bez literki "S" po numerku nie mają możliwości programowania przez JTAG. Co za tym idzie żaden USB-Blaster, czy pokrewne programatory nie pomogą. Potrzebny jest specjalizowany programator, lub jakiś dobry (i bardzo drogi) programator uniwersalny. Są to układy nie wspierane przez Alterę dobre 5-10 lat....

    Programowalne układy logiczne   21 Lis 2013 19:32 Odpowiedzi: 17    Wyświetleń: 3078
  • Jak zacząć naukę AHDL z użyciem Cyclone II i Quartus II?

    Napisałem taki program, tylko cos zle wyswietla na wyswietlaczach, no i działa tylko wyswietlacz numer hex0 a na drugim aktywana jest cały czas dioda 0 jesli dobrze rozumiem, na obu wyswietlaczach swieci sie stabilnie ten sam obrazek; by umozliwic analize tego projektu powinienes dodac opis funkcji ktore dolaczasz - 'count' i 'ram'; domyslam sie, ze...

    Programowalne układy logiczne   29 Wrz 2009 15:20 Odpowiedzi: 12    Wyświetleń: 4230
  • Quartus - jak ustawić początkowe stany przerzutników D w Verilog?

    Witam Mam do zaprojektowania prostu układ, narysować schemat w quartusie oraz zapisać funkcjonalność w języku verilog. Mam jednak pewien problem, w moim układzie znajduje się kilka przerzutników D, w jaki sposób mogę w designerze ustalić jakie wartości na wyjściach mają mieć przerzutniki na początku działania układu? Pytam ponieważ część tych przerzutników...

    Programowalne układy logiczne   16 Gru 2011 17:44 Odpowiedzi: 1    Wyświetleń: 1487
  • Programowanie układu Altera EPM7064S przez JTAG na Windows XP - jakie oprogramowanie?

    (...) trzebuję porady jak to zaprogramować. Mogę zrobić sobie prosty układ ByteBlaster na LPT. Mam komputer z LPT i Windowsem XP. Zamówiłem też USB Blaster ale dojdzie mi w przyszłym tygodniu. Plik pof mam do tego układu. Jakie oprogramowanie jest potrzebne do zaprogramowania EPM7064S? I skąd je ściągnąć? Cześć! Super, że chcesz się nauczyć programować...

    Programowalne układy logiczne   17 Maj 2024 10:30 Odpowiedzi: 1    Wyświetleń: 294
  • Jak sprawdzić wygenerowany kod AHDL w Quartus II po użyciu GENERATE?

    Na moje to wygeneruje po prostu 2 rejestry połączone ze sobą równolegle :] A ja bym powiedział że wygeneruje 8 wirtualnych "drutów" które połączą wszystkie "elementy" podłączone do wybranej linii a ze wszystkimi elementami podłączonymi do linii b o tym samym numerze.

    Mikrokontrolery   14 Kwi 2006 13:12 Odpowiedzi: 3    Wyświetleń: 1073
  • Jak ustawić stan początkowy w Quartus 4.2 używając Veriloga?

    Witam. Szukam informacji jak przypisać stan początkowy w Quartusie (ver. 4.2) używając Veriloga. Quartus nie widzi konstruktora 'Initial' - pomija go. Pozdrawiam

    Projektowanie PCB   07 Maj 2005 20:18 Odpowiedzi: 4    Wyświetleń: 1527
  • Quartus 7.1SP1 - Instalacja darmowych IPCore i NIOS jako patchy?

    hyh... ściągnij więc w wersji 7.0 i potem patchuj tym co ściągnąłeś... działa, bo miałem tak przez jakiś czas zainstalowane.

    Programowalne układy logiczne   03 Sie 2007 13:38 Odpowiedzi: 1    Wyświetleń: 1184
  • Generator 3-bitowy na przerzutnikach JK - błędy w symulacji Quartus

    Poradziłem sobie, temat do zamknięcia.

    Projektowanie Układów   18 Gru 2014 19:44 Odpowiedzi: 2    Wyświetleń: 1353
  • Quartus 7.2 na Windows Vista - problem z akceptacją pliku licencji

    Udało mi się rozwiązać problem-Quartus działa :D.

    Programowalne układy logiczne   24 Lut 2008 16:24 Odpowiedzi: 2    Wyświetleń: 1745
  • VHDL: Jak zorganizować i odczytać pamięć ROM w Cyclone II (Quartus)?

    Witam Polecam skorzystać z opcji MegaWizard. Pozwoli Ci ona skonfigurować komórki układu jako pamięć ROM. Niemniej korzystając z tej opcji w pierwszej kolejniści musisz stworzyć plik mif . Następnie w MegaWizard konfigurujesz odpowiednio sygnały sterujące pracą pamięci. Tutaj znajdziesz więcej szczegółów. http://www.altera.com/literature/ug/ug_m...

    Programowalne układy logiczne   25 Sie 2009 08:24 Odpowiedzi: 1    Wyświetleń: 1790
  • [VHDL] Jak ustawić niestandardowy adres początkowy RAM w MegaWizard Quartus?

    zrobiłem coś w stylu multipleksera adresów, z tym że wartości adresów odpowiadających RAMowi nr 2 są zmieniane, coś w stylu: adres_wyjsciowy2 = adres wejsciowy -2000 byc moze sie myle, ale mam wrazenie, ze bladzisz, prawdopodobnie temu, ze traktujesz fpga jak procesor; moze opisz nieco dokladniej co usilujesz uzyskac, do czego/jak wykorzystujesz te...

    Programowalne układy logiczne   28 Mar 2014 09:48 Odpowiedzi: 4    Wyświetleń: 2166
  • ISP przez Quartus II. Programowanie układu epm7128slc84-15. Kwestia licencji?

    Czy jest to kwestia licencji? (mam wersję web edition) glowy nie dam, ale mam gdzies w pamieci, ze 'web edition' ma cos nie tak z programatorem; mozesz sciagnac od altery sam programator: https://www.altera.com/support/software/... i sprobowac jeszcze raz; dla swietego spokoju zmienilbym jeszcze 'in-socket' na 'passive...

    Programowalne układy logiczne   01 Kwi 2008 18:44 Odpowiedzi: 3    Wyświetleń: 1631
  • Quartus II 10.1: Kompilacja używa tylko pinów, optymalizacja usuwa rejestry

    Witam. Mam następujący problem, stworzyłem projekt w Quartusie II 10.1. Jest on troszkę rozległy więc nie będe narazie opisywał. Chodzi mi w chwili obecnej o to, iż po kompilacji zakończonej sukcesem w podsumowaniu widnieje informacja iż jedyne zasoby użyte w projekcie to tylko piny. Załączam screen: http://obrazki.elektroda.pl/6416782500_1...

    Programowalne układy logiczne   06 Cze 2011 10:17 Odpowiedzi: 1    Wyświetleń: 1476
  • Quartus II , VHDL. Gdzie znajdę informacje o max szybkości pracy układu?

    Witam, Mam pytanie do znających narzędzie Quartus (Altery) Po wykonaniu projektu kompilacji i symulacji: Gdzie można znaleść informację o max szybkości pracy układu ? Pozdrawiam

    Programowalne układy logiczne   04 Mar 2007 23:25 Odpowiedzi: 1    Wyświetleń: 2130
  • Jak wpisać wartości do pliku MIF w Quartus II po użyciu MegaWizard?

    Witam Mam pytanie Jak wpisac wartosci do pamięci RAM tzn do pliku mif. Stworzyłem pamiec za pomoca kreatora Mega wizard plug in menager ale nie wiem gdzie on sie znajduje i jak do niego wpisac wartosci? Prosze o odpowiedz

    Programowalne układy logiczne   02 Gru 2009 17:54 Odpowiedzi: 2    Wyświetleń: 1744
  • Nieprawidłowe czasy stanów w maszynie stanów AHDL w Quartus II Altery

    Witam Mam taki oto problem stworzyłem sobie taki oto kod. Układ ma tylko wejście zegarowe i 3 wyjścia, zadeklarowalem sobie maszyne stanów 3 kolejno następujące po sobie od s1 do s3 i tak tak w koło z s3 przechodzi do s1 a każdemu ze stanów przypożądkowane są inne wartości na wyjściach, problem jaki napotkałem to to iż mimo że zastosowałem licznik licz...

    Programowalne układy logiczne   20 Mar 2007 09:31 Odpowiedzi: 3    Wyświetleń: 1565
  • Błędy w projekcie stopera w Quartus II na układzie FPGA - jak je naprawić?

    Dodaj te obrazki przyciskiem Dodaj obrazek pod polem edycyjnym, bo nic a nic nie widać !!!

    Nauka Elektroniki, Teoria i Laborki   27 Maj 2008 21:24 Odpowiedzi: 4    Wyświetleń: 3083
  • Jak przetestować procesor w Quartus II v.10.0 SP1 Student Edition?

    Właśnie ściągam ModelSim-a ze strony Altery. Oblukam co tam dali i zobaczę. Wiadomo coś jak to połączyć z Quartusem żeby zasymulować układ?

    Programowalne układy logiczne   11 Gru 2010 10:50 Odpowiedzi: 3    Wyświetleń: 1851
  • Jak wyodrębnić 4 bity z 8-bitowego słowa w Quartus dla CPLD?

    http://obrazki.elektroda.net/59_12276228... http://obrazki.elektroda.net/59_12276228...

    Programowalne układy logiczne   25 Lis 2008 15:26 Odpowiedzi: 2    Wyświetleń: 1080
  • Implementacja rozkazu LD w VHDL w Quartus II Web Edition 11.0

    Witam. Byłbym bardzo wdzięczny, jeżeli ktoś byłby w stanie mi pomóc. Problem polega na zaimplementowaniu rozkazu LD: LD arg1, arg2 (ładuje zawartośc spod adresu w arg2 - adres - może by w rejestrze lub wartością natychmiastową, wartośc jest zapisywana w arg1 - rejestrze 8-bit). Implementacja odbywa się w środowisku Quartus II Web Edition 11.0 Sp.1....

    Programowalne układy logiczne   20 Kwi 2012 21:21 Odpowiedzi: 1    Wyświetleń: 1604
  • Czy zmiana kolejności instrukcji sekwencyjnych w automacie SRAM pomoże?

    << zlootawy >> zapominalem o jeszcze jednej opcji, byc moze najporeczniejszej i najbardziej wiarygodnej; otoz quartus mozna poprosic "]/.../potraktowałem to jako komplement/.../ slusznie, zgodnie z zamierzeniem piszacego :) J.A

    Programowalne układy logiczne   10 Gru 2007 15:23 Odpowiedzi: 13    Wyświetleń: 1993
  • Emulator lub symulator Altera Cyclone IV kompatybilny z Quartus II – jak testować projekty?

    Witam, Od razu zaznaczę że to moja pierwsza styczność z elektroniką. Mam na zajęciach taki przedmiot na którym obsługujemy Quartusa II i "programujemy" Altera Cyclone IV [ http://www.cl.cam.ac.uk/~fr272/images/im... ] za pomocą bloczków. Chciałbym się trochę zagłębić w temat i tu moje pytanie czy jest jakiś emulator Cyclone IV ? No bo co...

    Programowalne układy logiczne   21 Paź 2011 19:45 Odpowiedzi: 2    Wyświetleń: 2021
  • VHDL Generator PWM w Quartus II - brak sygnału na wyjściu z bloku PLL

    Quartus nie symuluje pll więc musisz sobie sam wygenerować odpowiedni zegar ;) niestety nieprawda; symulator wbudowany w quartus do wersji 9.1 symuluje pll; by przesymulowac projekt z pll w innym symulatorze, jak model/questasim trzeba skompilowac rowniez model pll, ktory jest w kartotece quartusa; j.

    Programowalne układy logiczne   04 Lip 2013 08:56 Odpowiedzi: 4    Wyświetleń: 4422
  • sterownik akwizycji probek - cpld/fpga - programator

    jezeli bys sie decydowal, na uklad cpld to dokladanie sprawdz czy ma on 100% mozliwosc laczenia pomiedzy blokami funkcyjnemi, w innym wypaku moze sie okazac ze gdy projekt bedzie zajmowal wiekszosc zasobow ukladu, to przy dokonywamiu zmian(korekt jego pracy), bedzie konieczna zmiana adresow wejsc wyjsc. FPGA z reguly posiadaja wewnetrzna pamiec RAM...

    Programowalne układy logiczne   10 Lip 2008 14:02 Odpowiedzi: 4    Wyświetleń: 1863
  • Cyclone III FPGA i Quartus II Web Edition - czy oprogramowanie jest w pełni funkcjonalne?

    Ja korzystałem i dalej korzystam z Cyclone III oraz darmowego środowiska Quartus II Web Edition (jest już wersja 10.0, ja korzystam z 9.0) i tak jak piszą na stronie producenta nie ma żadnego problemu. Z tego co się orientuję to wszystkie układy Cyclone III można programować przy użyciu tego środowiska. Korzystałem z JTAG (programator ByteBlaster II),...

    Programowalne układy logiczne   19 Sie 2010 07:27 Odpowiedzi: 2    Wyświetleń: 2054
  • Quartus: Jak dodać sygnalizację przekroczenia zakresu w ALU dla liczb 4-bitowych?

    Zadanie: . Zaprojektować jednostkę arytmetyczno-logiczna, która będzie realizować dodawanie i odejmowanie 4-bitowych liczb binarnych w kodzie U2 oraz ma informować o przekroczeniu zakresu. Zrobiłem taki układ: http://obrazki.elektroda.net/18_12442034... Dobrze zrobiłem ten układ? Jak zrobić, żeby informował o przekroczeniu zakresu? Pozdrawiam...

    Nauka Elektroniki, Teoria i Laborki   05 Cze 2009 20:48 Odpowiedzi: 1    Wyświetleń: 2097
  • Quartus II 10 na Win XP - brak plików w projekcie i raportu kompilacji

    Wątpię, że popełniam błąd /.../ z gory przepraszam za to nieuprawnione posadzenie :) wydaje mi sie, ze o ile nie znajdziesz kogos, kto rozwiazal juz podobny problem, to pozostaje ci kontakt z support altery, bo trudno na odleglosc diagnozowac zachowanie zle zainstalowanego narzedzia; jesli znajdziesz przyczyne to napisz tutaj, z pewnoscia taka informacja...

    Programowalne układy logiczne   08 Wrz 2010 17:12 Odpowiedzi: 4    Wyświetleń: 2271
  • Jakie małe programowalne układy logiczne mają przyszłość?

    Chyba żaden współcześnie produkowany układ CPLD ani FPGA nie współpracuje już z logiką 5V. Niezły kombajn do układów CPLD i FPGA od Altery/Intela to Quartus. Do GALi wolę Opal Jr.

    Programowalne układy logiczne   01 Cze 2023 08:30 Odpowiedzi: 21    Wyświetleń: 1116
  • Różnice między Quartus a MAX+PLUS II dla syntezy VHDL układów Altera

    Dzięki bardzo za odpowiedź. Quartusa już zassałem - czas na zabawę ;) \ Temat uważam za zamknięty. pozdrawiam Bwoj

    Programowalne układy logiczne   10 Paź 2008 15:47 Odpowiedzi: 2    Wyświetleń: 1377
  • ModelSim Altera – licencja, aktywacja i uruchomienie z licencją Quartusa

    tak wyglada moja licencja: FEATURE quartus_lite alterad 2008.11 15-nov-2008 uncounted \ A991A90614F1 HOSTID=001617a3a4f7 SIGN="0704 9571 4EC1 532D \ FEC4 44A7 D69B 064E 61D9 BAAF 9C49 02D4 8CCF BCA4 ECC1 0402 \ F13B ABD4 359A D25B 9B03 CD44 CDE8 D1F9 E2BA 2EFE C134 85F3 \ 6FE5 B767" INCREMENT alteramtiwe mgcld 2008.11 15-nov-2008 uncounted \ DD68CA55C0CAECFCA11C...

    Programowalne układy logiczne   05 Cze 2008 22:04 Odpowiedzi: 4    Wyświetleń: 1589
  • Stratix II - Trex s2 TMB: Ustawienia Quartus, EDA, pin assignments, USB Blaster

    Hey mam problem z ustawieniami quartusa dla powyższej płyty. Miałem kiedyś na zajęciach z układów programowalnych ćwiczenia na płycie DE2. Jednak siadaliśmy na gotowe środowisku gdzie wszystko ustawione. Wystarczyło zrobić projekt, i wgrać exela z pin assigment i potem tylko schemat albo plik AHDLa. Chciałem teraz lepiej poznać FPGA i myślałem że to...

    Programowalne układy logiczne   19 Lis 2013 21:46 Odpowiedzi: 6    Wyświetleń: 1644
  • Programowanie EPM7128STC100-15N TQFP-100 zamiast ATF1508AS - konwersja formatu .jed na .POF

    zainstalowałem Quartus-web-13.0.1.232-windows ta wersja ma obsługę tego typu chipów z rodziny EPM700 po kompilacji wyszło coś takiego czy możecie sprawdzić czy niema błędów EPM7128STC100-15N TQFP-100 na 5V w załączniku projekt

    Programowalne układy logiczne   13 Lis 2023 18:40 Odpowiedzi: 5    Wyświetleń: 450
  • Jak tworzyć i obsługiwać pliki .ucf w Max+Plus II i Quartus dla MAX II Kit?

    W quartrusie służy do tego plik z *.qsf (Quartus II Settings File) Można go modyfikować ręcznie, lub poprzez menu Assignments->.. (device,pins, settings..) Musisz mieć zdefiniowany układ jaki używasz. Potem najlepiej zrobić wstępną synteze. Następnie otwierasz Assignments->pins i podpinasz piny. W polach kolumny "Location" dla każdego sygnału kliknij...

    Programowalne układy logiczne   18 Sty 2007 12:29 Odpowiedzi: 2    Wyświetleń: 1460
  • FPGA Altium Designer 09 - Błąd NgdBuild:604 przy wgrywaniu komponentu

    Proponowałbym zacząć od pokazaniu kodu bo po samej nomenklaturze kodu ciężko coś stwierdzić... Ja miałem błąd ale przy innej sytuacji... Wrzuciłem ten błąd w google i: http://www.google.pl/search?q=ERROR%3ANg... Szczerze dalej mi mało mówi prócz braku wsparcia dla bloku "prosty...

    Programowalne układy logiczne   29 Lip 2010 13:08 Odpowiedzi: 8    Wyświetleń: 2586
  • Quartus II 7.0 - Intel 8080 z cache 4kB i LRU, długi czas kompilacji

    Poprawki kolegi sprawdzilem i wydaja sie uzasadnione. Czas kompilacji noralnie wynosil 40min, natomiast po poprawkach 9,5h. Niestety po poprawkach nadal cos jest nie tak. Caly czas szukam bledu ale mi to nie idzie. a co to za poprawki ? w RTL, czy w ustawieniach kompilatora ? takie wydluzenie czasu kompilacji moze byc efektem dwoch rzeczy: - projekt...

    Programowalne układy logiczne   06 Wrz 2007 01:11 Odpowiedzi: 3    Wyświetleń: 1299
  • Konfiguracja DCM w Spartan 3E dla MIG DDR i ostrzeżenie CLK_IN 5-90MHz

    A co do tego keep hierarchy to co nieco widziałem moze sie myle, ale jest mala szansa, ze jednak sie nie rozumiemy ... najlepiej wyjasnic sprawe na przykladzie :); module syn_keep ( input clk, in_a, in_b, in_c, in_d, output reg out_a, out_b, out_c, out_d ); wire clkb; wire clkc; wire clkd /*synthesis syn_keep*/; assign clkb = clk; lcell del_clk...

    Programowalne układy logiczne   15 Kwi 2008 10:35 Odpowiedzi: 22    Wyświetleń: 2604
  • Konkurs - do czego wykorzystasz FPGA? WYNIKISponsorowany

    Żeby pobrać soft ( Quartus Lite ) trzeba się rejestrować?

    Artykuły   16 Gru 2019 18:57 Odpowiedzi: 87    Wyświetleń: 10770
  • Altera 10M08SAE144C8G – Quartus nie wykrywa, błąd 210009, jak dodać obsługę?

    Witam, Mam problem z zaprogramowaniem mikrokontrolera Altera 10M08SAE144C8G Zbudowałem na nim kartridge z tego projektu: https://github.com/robinhedwards/Ultimat... Wiem że nalezy użyć programu Quartus (jest to nawet opisane na Githubie strony autora) oraz USB Blastera. Nie mam doświadczenia w pracy z Quartusem a wydaje mi się że program ten nie obsługuje...

    Mikrokontrolery   07 Lip 2025 20:10 Odpowiedzi: 0    Wyświetleń: 84
  • FPGA Quartus + Nios: Jak połączyć kod VHDL z programem w C i obsługą VGA?

    Tak jak napisal marekos projekt w VHDL po syntezie i implementacji moze wyladowac w FPGA. Jesli jest w nim procesor to mozesz napisac program w C ktory po kompilacji i linkowaniu na dana architekture da Ci zawartosc pamieci programu ktora laduje w pamieci podlaczone do procesora w twoim projekcie i gitara gra. Tylko że według mnie budowa procesora w...

    Programowalne układy logiczne   17 Mar 2009 09:47 Odpowiedzi: 2    Wyświetleń: 2033
  • Licznik modulo 53 na układzie 7493 w Altera Quartus - jak przedłużyć czas trwania liczby 52?

    Ciekawe ... Spróbuj tak: http://obrazki.elektroda.pl/9651742100_1...

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4740
  • Quartus II 7.0 i układ ALTERA MAX 7xxxx - jak stworzyć generator 20-25 kHz?

    Odpowiedź znajduje się w innym (nowszym) wątku: http://www.elektroda.pl/rtvforum/topic77...

    Programowalne układy logiczne   19 Cze 2007 00:39 Odpowiedzi: 3    Wyświetleń: 2120
  • Wybór FPGA na pracę dyplomową - Cyclone Altera EP1C3T144 czy coś innego?

    Ja osobiście polecam: Terasic DE0-Nano W wersji edu (jako student) zapłacisz około 370-400 zł. Ogólnie moje doświadczenia z firmą Altera są bardzo dobre - przyjazne i płynnie działające środowisko QUARTUS, nigdy nie miałem problemów ze sterownikami czy innymi pierdołami utrudniającymi pierwsze zaprogramowanie, czego nie można powiedzieć o firmie Xilinx....

    Programowalne układy logiczne   19 Maj 2016 09:45 Odpowiedzi: 4    Wyświetleń: 4425
  • Programator USB Blaster - płytka z dodatkowymi napięciami zasilającymi

    Hej 1. Jeśli w aktualnie tworzonym projekcie masz wyłączony JTAG, to musisz wygenerować plik JAM (Quartus nie pozwoli Ci użyć pliku SOF do programowania). 2. Jeśli obecnie EPM3032 ma wyłączony JTAG (nie jest wykrywany przez USB Blaster przy opcji AutoDetect) lub próbujesz nagrać wsad z projektu z wyłączonym JTAGiem, to na pin I/OE1 musisz podać 12 V...

    DIY Konstrukcje   28 Paź 2024 22:23 Odpowiedzi: 8    Wyświetleń: 7830
  • Jak zrealizować konwerter z 4 liczników mod 10 na 4 wyświetlacze 7-segmentowe?

    Jak do tego sie zabrać trzeba chyba zaczac do czytania podrecznikow ? znajdz jakikolwiek program napisany w ahdl, zeby zobaczyc jak sie go zaczyna, jak sie deklaruje we/wy, stale i zmnienne, w ktorym miejscu zaczyna sie opis algorytmu; potem napisz licznik mod10, to calkiem proste: jesli 'zegar' to: jesli licznik=9 to licznik = 0 jesli nie to licznik...

    Programowalne układy logiczne   07 Mar 2008 12:43 Odpowiedzi: 22    Wyświetleń: 5372
  • [VHDL] VHDL: Jak efektywnie zakodować 77250 stanów automatu w Quartusie 9.1?

    Witam Myslę że kodowanie Quartus sam przestawił na binarne, bo ONE-HOT bit stanowczo nie zdaje egzaminu w tak duzych maszynach stanów. Default'owo kodowanie maszyny stanu jest ustawione na AUTO, więc Quartus powinien sam zdecydować, że twoja maszyna stanów ma być kodowana jako binarna. Mozna to sprawdzić w ustawieniach. ( Syntezy i kompilacji ) http://obrazki.elektroda.net/86_12768779...

    Programowalne układy logiczne   22 Cze 2010 17:20 Odpowiedzi: 15    Wyświetleń: 2853
  • Przenośny dwukanałowy oscyloskop cyfrowy na FPGA & ARM (max 250MSPS/kanał)

    (at)mongoł2000 cyclone V altery też ma wersje z ARM a jest chyba tańszy. Quartus jak ISE też ma chyba darmową wersję.

    DIY Konkurs   22 Sty 2018 21:36 Odpowiedzi: 50    Wyświetleń: 18717
  • Tworzenie bloków funkcjonalnych dla Atmel ATF1502AS - oprogramowanie i interfejs JTAG

    Witam, jakim oprogramowaniem można stworzyć bloki funkcjonalne dla tego i podobnych jemu układów (ATF1502AS). Najlepiej gdyby można było tworzyć je w formie graficzne coś jak quartus dla Altera lub VHDL. Programowanie tych scalaków odbywa się po JTAG?

    Programowalne układy logiczne   06 Sie 2021 19:01 Odpowiedzi: 2    Wyświetleń: 552
  • Mikrokomputer COBRA 1

    Witam (at)zdzis_ek dziękuję za schemat i wzory płytek (wszystko jest dobrze opisane). Chciałbym zapytać jaki wyszedł koszt płyty pcb SMD którą zamówiłeś w Chinach i czy napotkałeś na jakieś problemy przy uruchamianiu? Mam jeszcze pytanie do kogoś kto ma do czynienia z układami Altera. Pod ty linkiem: [url=http://searle.hostei.com/grant/Mult...

    DIY Konstrukcje   17 Lip 2025 19:07 Odpowiedzi: 2030    Wyświetleń: 278121
  • Wszystko o układach programowalnych... podstawowe informacje

    masz racje, ise to uklady Xilinx, quartus to altera; J.A

    Programowalne układy logiczne   15 Sie 2008 11:56 Odpowiedzi: 95    Wyświetleń: 15543
  • [VHDL] Symulacja układu w wykorzystaniem zewnętrznych modeli

    Symulację robisz w symulatorze. Do Quartusa dołączony jest Modelsim, bardzo dobry symulator który radzi sobie z kodem syntezowalnym jak i nie. Robisz test bench który zawiera Twój projekt oraz model pamięci oraz coś co wymusza na szynie z uC stany - wszystko połączone sygnałami. Quartus wywołuje Modelsim ze skryptów, które przygotowują srodowisko (biblioteki...

    Programowalne układy logiczne   20 Lut 2021 15:46 Odpowiedzi: 3    Wyświetleń: 597
  • Altera-EP320-PC-2 jak zaprogramować lub skopiować.

    na laboratorium korzystalismy z oprogramowania Quartus poprzez USB w systemie win32, opis oczywiscie w VHDLu. na stronie Altery szukaj darmowego oprogramowania(bo jest! ale zdaje sie ze inny anizeli Quartus); z tego co wiem programatory sa dosc drogie. PS zdaje sie ze topic powinien byc przerzucony do Ukladow Programowalnych, a nie mikrokontrolerow...

    Programowalne układy logiczne   12 Mar 2007 13:49 Odpowiedzi: 8    Wyświetleń: 2086
  • Jak uzyskać zegar 3Hz z 50MHz z wypełnieniem 90% w Verilog?

    Jak wgrać program przez Quartus Programmer na konfigurator tej płytki? akurat pare dni temu pisalem instrukcje jak to zrobic; zakladam, ze masz tam jakies EPCQ [flash Altery] w ktorym jest image dla FPGA; przed kompilacja w Quartus: Assignments -> Device -> ‘Device and Pin Options…' wybrac: 'Configuration scheme' i 'Conf. device'...

    Programowalne układy logiczne   10 Cze 2013 14:13 Odpowiedzi: 4    Wyświetleń: 2505
  • Sprawdzenie kodu VHDL dla Cyclone III - generowanie sygnału tim1*8

    enable w odwrotnej polaryzacji ustaw. Mój symulator to w quartus II 9.1V

    Programowalne układy logiczne   29 Sie 2014 13:55 Odpowiedzi: 9    Wyświetleń: 2646
  • Wykonanie PCB i zakup Xilinx'a do analizatora stanów logicznych Minila

    Witam! Widzę, że temat się rozwinął. To dobrze wróży. Ja mam ten analizator na Cyclone i powiem, że spory problem to tutaj wbrew pozorom soft na PC. Quartus Altery jest darmowy jedynie jak ma połączenie z internetem, no i trzeba się zarejestrować, przysyłają wtedy licencję, która działa na pół roku. I takie tam zabawy. A zabezpieczenia Quartusa są dość...

    Mikrokontrolery   17 Kwi 2009 09:51 Odpowiedzi: 76    Wyświetleń: 18617
  • VHDL - Szybkie dodawanie liczb zmiennoprzecinkowych

    Większość programów do kompilacji projektów (np. Quartus Altery) ma gotowe komponenty do operacji na liczbach zmiennoprzecinkowych.

    Programowalne układy logiczne   06 Cze 2009 15:34 Odpowiedzi: 2    Wyświetleń: 2256
  • Jak wygenerować kod VHDL z języka wyższego poziomu dla Spartan II?

    Quartus jest w dwóch wersjach nie bede opisywał różnic bo można to po prostu przeczytać zaglądając tu http://www.altera.com/literature/po/ss_q... . I nic tam nie widzę na temat ograniczeń ilości liczby elementów. Może małą kość wybrałeś i dlatego taki wniosek. Wątpię aby Altera zrobiła taki krok bo jako jeden z czołowych producentów układów...

    Programowalne układy logiczne   19 Sie 2011 14:01 Odpowiedzi: 3    Wyświetleń: 2156