/.../ 1. skompiluj modul ctrl_reg_i jako 'top-level', jesli ostrzezenia nie znikna - problem w tym module, jesli tak - w polaczeniach miedzy; 2. znajdz wszystkie sygnaly, ktore maja wplyw na 'clock_enable' i jeden po drugim odlaczaj je od logiki a lacz jako pin, jesli w pewnym momencie problem zniknie, bedziesz wiedzial ktory sygnal jest zle podlaczony,...
Co to znaczy "do takiej postaci"? Z tym RTLem to jest tak, że jest to pewien poziom abstrakcji. To, że widzisz muxa, to znaczy że jest on zrobiony na odpowiednich blokach w samym FPGA.
Dla VHDL przy problemie NB na BCD wystarczy mapowanie przy pomocy switch case lub if else if ... nie idź w kierunku tablicy prawdy, a jak już to należało by ją zredukować za pomocą [url=http://pl.wikipedia.org/wiki/Metoda... Karnaugh Poszukaj trochę na forum było kila razy to już: [url=http://www.elektroda.pl/rtvforum/vi...
"Warning (332174): Ignored filter at SDC1.sdc(12): clk could not be matched with a clock" W projekcie wejście zegarowe nazywa się CLK, a nie clk. "Warning (332049): Ignored set_input_delay at SDC1.sdc(12): Argument -clock is not an object ID " Tutaj ta sama uwaga. "Warning (332049): Ignored set_output_delay at SDC1.sdc(20): Positional argument: object_list...
Witam Wchodzisz w Processing->Simulator Tool Tam jest opcja Simulation Period i wybierasz End Simulation at wpisując docelową wartość. Oprócz tego musisz wejść w Tools->Options for Waveform Editor i ustalić czas końcowy pliku w którym definiujesz wymuszenia. Pozdrawiam
Do słowa symulacja zniechęciła mnie uczelnia...nie widząc rzeczywistego urządzenia. jak chcesz, patrzac w rzeczywiste urzadzenie nie widzisz nic ciekawszego niz migajaca Nie wiem co jest grane przy kompilacji pojawia mi się błąd, że outputs are stuck - niektóre pod Vcc a jeden pod GND nie wiedzieć czemu w koncowym raporcie [tej kartce na glownym oknie...
Miałem identyczny problem, tyle, że pod Archem. Okazuje się, że z jakiegoś powodu podsystem perla dołączony do Quartusa szuka bibliotek pod /tools/perl a nie tam, gdzie zainstalowano Quartusa. Rozwiązanie może mało eleganckie, ale działa: [syntax=bash]sudo mkdir -p /tools/perl/5.28.1/linux64/ sudo ln -sf /home/<nazwa_użytkownika>/intelFPG...
pomimo tego że licznik jest synchroniczny to i tak pojawią się hazardy problem nie w liczniku czy dekoderze, te kawalki wygladaja na napisane poprawnie, masz klopot, bo wejscia zegarowe rejestrow D polaczyles z wyjsciami ukladu kombinacyjego; to jest wlasciwie wbrew 'zasadom sztuki'; jesli bedziesz w stanie opisac co chcesz uzyskac tak, bym to zrozumial,...
mam nadzieje, ze wiesz jakiej czestotliwosci zegar jest na plytce, i w jakim jezyku masz to napisac; A. -deklarujesz odpowiednio duzy rejestr i dzielisz wejsciowy zegar tak, by dzielnik dawal impuls co sekunde; - zliczasz sekundowe impulsy licznikiem modulo 60, przejscie miedzy 59 a 0 to impuls minutowy - zliczasz impulsy minutowe licznikiem modulo...
Witam Na stronie Altery znajdziesz sporo materiałów które pomogą Ci nauczyć się podstaw obsługi Quartusa. Poniżej link. http://altera.com/education/univ/materia... Pozdrawiam
Witam! Na wstepie dodam ze dopiero zaczynam z ukladami programowalnymi takze jakby co to nie krytykujcie mnie zbyt mocno. Mam pewien problem a dokladnie chodzi mi o to ze mam napisany prosty procesor w Active-HDL-u, pliki .vhd i block diagram .bde. To co napisalem mam zsyntezowac w Quartusie a pozniej zaprogramowac FLEX-a 10K20... <- ukladzik jest...
(at) Mroowa1990 to nie najmniejszego sensu. Stworzyłeś zwykły zatrzask (bo pominąłeś wszystkie możliwości stanu LEDR przy wszystkich stanach op , jakbyś je wymienił miałbyś układ kombinacyjny). Przez syntezę to na przykład nie przejdzie, brakuje Tobie reszty możliwości, np na końcu case when others => null; w celu stworzenia zatrzasku. Twój opis...
Może to banalny problem, ale jakoś nie mogę go obejść. /.../ I tu mamy do wyboru: duża firma i mała firma. Niestety nie jestem ani jedną ani drugą. A. wybierz/wpisz cokolwiek, to zwykła formalność. J.
Przy projektowaniu układów w verilogu lub vhdlu nie stosuje się portów inout poniewaz jak sam zauwazyłeś są one po prostu niesyntezowalne.. co więc robic ?? ano musisz po prostu osobno zapisac port wyjściowy osobno port wejściowy -- jako osobne wejście wyjście... Tak się robi poszukaj sobie przykładów na www.opencores.com, jak procesor będzie gotowy...
Brakuje: else f <= '0';
Idealnego filtru Hilberta nie zrealizujesz w praktyce. Da się jedynie zrobić taki filtr dla pewnego zakresu częstotliwości i odpowiedniego rzędu aby charakterystyka amplitudowa była w miarę płaska w zadanym zakresie. Bo po co Ci filtr idealny jeśli masz jakieś swoje, zadane próbkowanie, które jest sporo niższe od nieskończoności;) Wszystko możesz dobrać...
VHDL mi się osobiście nie podoba, ja nie cierpie vhdl, choc musze z nim pracowac, verilog jest znacznie bardziej 'user-friendly'; Modelsim był pobierany razem z quartusem w wersji 13sp1, modele tzw. 'primitives' powinny byc: <install_dir>\quartus\eda\sim_lib\ to po prostu pliki *.vhd i *.v 'na oko' bedziesz potrzebowal skompilowac 220model.v,...
czemu zamiast korzystac z portu clock enable program zuzywa niepotrzebnie jedno z wejsc do celi logicznej ? nie wiem, ale nie sadze, by w alterze pracowali nowicjusze, wiec pewnie maja swoje powody, w koncu oni najlepiej znaja swoje kostki; zawsze mozna wyslac pytanie do ich support, odpowiadaja dosc szybko, ew. pogooglic; /.../mam jeszcze jedno pytanie/.../...
chcialbym zaprojektowac cyfrowy /.../ Od czego powinienem zaczac? skoro piszesz na te grupe, to zakladam ze interesuje Cie kontroler i2c w jakims fpga/cpld; ja bym polecal taka sekwencje dzialan: 1.instalacja jednego z 2 najpopularniejszych programow do programowania fpga ise lub quartus, [jak wszyscy stali bywalcy grupy wiedza moim typem jest quartus]...
My na technice cyfrowej używamy oprogramowania Quartus, do układów altery no ale to ponad 1GB zajmuje.. Ale ogólnie całe oprogramowanie bardzo wszechstronne, posiada symulacje, mógłbyś się tym pobawić.
/.../Jednak kiedy syntezuję układ używając Synplify 8.9/.../ ISE to co prawda nie moja dzialka, ale w quartus jest podobnie, nie da sie zrobic syntezy projektu z SignalTap - odpowiednikiem Chipscope Xilinx - za pomoca innego narzedzia niz quartus; z pewnoscia w dokumentacji Chipscope jest informacja jak postepowac w takim przypadku, moja sugestia jest...
Zgaduje: Versions supported: 3.0 - 9.1 inclusive A na chwilą obecną Quartus II ma numerek v10.1. Więc pewnie jakiś bubel programistyczny co na sztywno sprawdza wersję. Bardziej by Ci się opłacało ładować bitstream'a przez Quartus'a i klona USB-Blaster niż bawić się w ten wynalazek od Altiuma.
Również jeśli chodzi o FPGA to polecam VHDL'a.. Dosyć dobrą książką na start z VHDL'em jest "Język VHDL w praktyce" Józefa Kalisza. Natomiast alternatywnym do Xilinx'a środowiskiem do pisania i symulacji FPGA jest Altera ze swoim darmowym Quartus II Web Edition.
ten fragment jest wycięty ze sterownika UART'u o prędkości 9600kbps (stąd akurat zegar 9600Hz), który zrobiłem, poza tym tej metody używałem we wszystkich programach, w których potrzebowałem zegara o stałej częstotliwości, w Xilinx ISE (o ile się nie mylę odpowiednik Quartus II) nie można uzyskać z VHDL schematu elektrycznego, ale można wygenerować...
Ze strony Intel/Altera mozna sciagnac wczesniesze wersje Quartusa.
przeczytam dzis wieczorem w domu opis tego modulu DCM u xilinxa, a nuz plote bzdury ... przeczytalem, nie znalazlem wyjasnienia wprost, ale wydaje sie, ze mam racje, ze ise oblicza potrzebne opoznienie w ns na podstawie okresu zegara wejsciowego, podobnie jak quartus altery; altera tez, nawiasem mowiac, nie podaje tej informacji otwartym tekstem, trzeba...
Witaj. Możesz wzorować się na tym projekcie: http://mikrokontrolery.blogspot.com/2011...
Witam Mam taki problem zakupiłem z ARROW płytkę BEMICROMAX10 podobno quartus w darmowej wersji obsługuje wszystkie układy MAX10 a tutaj taki kwiatek: [syntax=xml]Info: Running Quartus II 64-Bit Fitter Info: Version 14.1.1 Build 190 01/19/2015 SJ Web Edition Info: Processing started: Sun Feb 01 21:14:41 2015 Info: Command: quartus_fit --read_settings_files=off...
w jaki sposób uniknąć propagacji X'ow/.../ jedyne co mi sie udalo znalezc, to ustawienie: Settings -> EDA Tool Setting -> Simulation po prawej wybierz Modelsim, klik na 'More Settings' i tam jest opcja: 'Disable setup and hold...' pelna nazwa sugeruje jednak, ze opcja ta dotyczy jedynie input pins, nie wszystkich przerzutnikow; czy da sie to wylaczyc...
Polecam środowisko Altium Designer - oczywiście Altium umożliwia projektowanie FPGA dopiero po zainstalowaniu określonego środowiska typu Xilinx ISE, Actel Libero czy Altera Quartus. Próbowałem zgłębić Xilinx ISE, ale gorzej "skomplikowane" chyba już być nie może. Wygląda, jakby do środowiska z prehistorycznych czasów ciągle dobudowywano pewne "dostawki"....
A w necie coś ciężko znaleźć "idiot's guide" do napisania testbencha w quartusie nie ma 'testbencha w quartusie', testbench to standardowa metoda generowania wymuszen w kazdym symulatorze, nawiasem mowiac to po prostu plik vhdl lub verilog; chcesz sie zajmowac fpga, musisz to opanowac; na poczatek mozesz sciagnac od altery https://www.altera.com/download/archives...
MAX to antyk, można nim tworzyć konfiguracje tylko dla starych układów więc od razu możesz sobie go darowć, ale jesli chcesz tylko sobie posymulować to może być, choć Quartus ma wspaniały symulator i tylko do symulacji to ja również wybrał bym Quartusa.
Jak przyporządkować z magistrali q http://obrazki.elektroda.net/64_12459347...
Dzień dobry, mam mały problem tj. jestem studentem I roku informatyki w trybie niestacjonarnym - na przedmiot technika cyfrowa (którego zajęcia z powodu padnemii odbyły się tylko raz) muszę wykonać kilka zadań w ramach zaliczenia. Jestem zupełnie zielony w temacie jednak od piątku udało mi się trochę nauczyć - proste układy kombinacyjne, sterowniki...
Dzień dobry, Mam taki komunikat : Warning (125092): Tcl Script File xx.qip not found Info (125063): set_global_assignment -name QIP_FILE xx.qip Projekt był zrobiony na tej samej wersji kompilatora , jednak teraz mam powyższy komunikat. W internecie jest kilka opisów problemu ale żaden nie rozwiązuje mojego problemu. Pozdrawiam
input clk, rst; input [7:0] d; output reg [7:0] q; always(at)(posedge clk or posedge rst) begin if(rst) q <= 8'b00000000; else q <= d; end Oto przykładowy program w verilogu typowego rejestru po kompilacji redukuje , więc gdzie tu morze d ustawiać na zero.
Mam problem. Napisałem w QUARTUS'ie program w języku VERILOG. Prgram kompiluje się bez błędów ale kompilator podaje zerową ilość wykorzystanych elementów logicznych. Nie wiem gdzie popełniłem - błąd proszę o pomoc.
Witam Napisałem mały projekt w VHDL korzystając z kompilatora Quartus II. Skompilowało się bez błędów. Następnie chciałbym przesymulować i jak wybieram "Start simulation" mam komunikat: "No input file asignment specified on Simulator page of the Settings Dialog box" Jestem bardzo początkującym.. Chodzi chyba o to, że zanim się zrobi symulację trzeba...
Jak w wykonać symulację czasową? Jak można odczytać maksymalną częstotliwość projektowanego układu?
Napisz algorytm pracy, co się dzieje w poszczególnych chwilach życia układu, a potem podziel to na bloki realizujace funkcje i automat sterujący całością.
Witaj. Ograniczenia wersji edukacyjnej są następujące: [1] napewno da sie zaprogramowac fpga alterowa z flasha [2] ja bym wysledzil (nie wiem jak sie nazywa odpowiednik chipscopa dl altery ale cos takiego istnieje na 100%) gdzie jest ten modul ktory blokuje proca, nastepnie z odpowiednika fpga_editora lub fpga_floorlplana alterowego ustawil ten sygnal...
[url=http://rtfm.killfile.pl/]Odpowiedź 1 [url=http://www.elektroda.pl/rtvforum/fa... 2 A tak po za tym, nie wiadomo o co Ci chodzi. Koszyk na gotowce już został wypróżniony dawno temu, czekamy na tak zwany wkład własny, któremu poświęciłeś tyle czasu nad analizowanym problemem.
witam ma ktos z was jakis opis w jezyku polskim do programu quartus, moze na laborkach uzywaliscie tego programu
W trakcie robienie wykonywania instrukcji z laborki napotkałem problem. Na wysciu bramek obojętnie jaki bedzie układ zawsze pojawia się "forcing unknown"-wymuszenie nieznane. Wykonałem więc najprostszy układ jaki można typu jest bramka AND z 2 wejściami 1 wyjściem gdy robię najprostszą symulacje, wynikiem na wyjściu LEDR http://img571.imageshack.us/img571/4294/...
Witam! O ile się nie mylę, układy z rodziny MAX7000 bez literki "S" po numerku nie mają możliwości programowania przez JTAG. Co za tym idzie żaden USB-Blaster, czy pokrewne programatory nie pomogą. Potrzebny jest specjalizowany programator, lub jakiś dobry (i bardzo drogi) programator uniwersalny. Są to układy nie wspierane przez Alterę dobre 5-10 lat....
Napisałem taki program, tylko cos zle wyswietla na wyswietlaczach, no i działa tylko wyswietlacz numer hex0 a na drugim aktywana jest cały czas dioda 0 jesli dobrze rozumiem, na obu wyswietlaczach swieci sie stabilnie ten sam obrazek; by umozliwic analize tego projektu powinienes dodac opis funkcji ktore dolaczasz - 'count' i 'ram'; domyslam sie, ze...
Witam Mam do zaprojektowania prostu układ, narysować schemat w quartusie oraz zapisać funkcjonalność w języku verilog. Mam jednak pewien problem, w moim układzie znajduje się kilka przerzutników D, w jaki sposób mogę w designerze ustalić jakie wartości na wyjściach mają mieć przerzutniki na początku działania układu? Pytam ponieważ część tych przerzutników...
(...) trzebuję porady jak to zaprogramować. Mogę zrobić sobie prosty układ ByteBlaster na LPT. Mam komputer z LPT i Windowsem XP. Zamówiłem też USB Blaster ale dojdzie mi w przyszłym tygodniu. Plik pof mam do tego układu. Jakie oprogramowanie jest potrzebne do zaprogramowania EPM7064S? I skąd je ściągnąć? Cześć! Super, że chcesz się nauczyć programować...
Na moje to wygeneruje po prostu 2 rejestry połączone ze sobą równolegle :] A ja bym powiedział że wygeneruje 8 wirtualnych "drutów" które połączą wszystkie "elementy" podłączone do wybranej linii a ze wszystkimi elementami podłączonymi do linii b o tym samym numerze.
Witam. Szukam informacji jak przypisać stan początkowy w Quartusie (ver. 4.2) używając Veriloga. Quartus nie widzi konstruktora 'Initial' - pomija go. Pozdrawiam
hyh... ściągnij więc w wersji 7.0 i potem patchuj tym co ściągnąłeś... działa, bo miałem tak przez jakiś czas zainstalowane.
Poradziłem sobie, temat do zamknięcia.
Udało mi się rozwiązać problem-Quartus działa :D.
Witam Polecam skorzystać z opcji MegaWizard. Pozwoli Ci ona skonfigurować komórki układu jako pamięć ROM. Niemniej korzystając z tej opcji w pierwszej kolejniści musisz stworzyć plik mif . Następnie w MegaWizard konfigurujesz odpowiednio sygnały sterujące pracą pamięci. Tutaj znajdziesz więcej szczegółów. http://www.altera.com/literature/ug/ug_m...
zrobiłem coś w stylu multipleksera adresów, z tym że wartości adresów odpowiadających RAMowi nr 2 są zmieniane, coś w stylu: adres_wyjsciowy2 = adres wejsciowy -2000 byc moze sie myle, ale mam wrazenie, ze bladzisz, prawdopodobnie temu, ze traktujesz fpga jak procesor; moze opisz nieco dokladniej co usilujesz uzyskac, do czego/jak wykorzystujesz te...
Czy jest to kwestia licencji? (mam wersję web edition) glowy nie dam, ale mam gdzies w pamieci, ze 'web edition' ma cos nie tak z programatorem; mozesz sciagnac od altery sam programator: https://www.altera.com/support/software/... i sprobowac jeszcze raz; dla swietego spokoju zmienilbym jeszcze 'in-socket' na 'passive...
Witam. Mam następujący problem, stworzyłem projekt w Quartusie II 10.1. Jest on troszkę rozległy więc nie będe narazie opisywał. Chodzi mi w chwili obecnej o to, iż po kompilacji zakończonej sukcesem w podsumowaniu widnieje informacja iż jedyne zasoby użyte w projekcie to tylko piny. Załączam screen: http://obrazki.elektroda.pl/6416782500_1...
Witam, Mam pytanie do znających narzędzie Quartus (Altery) Po wykonaniu projektu kompilacji i symulacji: Gdzie można znaleść informację o max szybkości pracy układu ? Pozdrawiam
Witam Mam pytanie Jak wpisac wartosci do pamięci RAM tzn do pliku mif. Stworzyłem pamiec za pomoca kreatora Mega wizard plug in menager ale nie wiem gdzie on sie znajduje i jak do niego wpisac wartosci? Prosze o odpowiedz
Witam Mam taki oto problem stworzyłem sobie taki oto kod. Układ ma tylko wejście zegarowe i 3 wyjścia, zadeklarowalem sobie maszyne stanów 3 kolejno następujące po sobie od s1 do s3 i tak tak w koło z s3 przechodzi do s1 a każdemu ze stanów przypożądkowane są inne wartości na wyjściach, problem jaki napotkałem to to iż mimo że zastosowałem licznik licz...
Dodaj te obrazki przyciskiem Dodaj obrazek pod polem edycyjnym, bo nic a nic nie widać !!!
Właśnie ściągam ModelSim-a ze strony Altery. Oblukam co tam dali i zobaczę. Wiadomo coś jak to połączyć z Quartusem żeby zasymulować układ?
http://obrazki.elektroda.net/59_12276228... http://obrazki.elektroda.net/59_12276228...
Witam. Byłbym bardzo wdzięczny, jeżeli ktoś byłby w stanie mi pomóc. Problem polega na zaimplementowaniu rozkazu LD: LD arg1, arg2 (ładuje zawartośc spod adresu w arg2 - adres - może by w rejestrze lub wartością natychmiastową, wartośc jest zapisywana w arg1 - rejestrze 8-bit). Implementacja odbywa się w środowisku Quartus II Web Edition 11.0 Sp.1....
<< zlootawy >> zapominalem o jeszcze jednej opcji, byc moze najporeczniejszej i najbardziej wiarygodnej; otoz quartus mozna poprosic "]/.../potraktowałem to jako komplement/.../ slusznie, zgodnie z zamierzeniem piszacego :) J.A
Witam, Od razu zaznaczę że to moja pierwsza styczność z elektroniką. Mam na zajęciach taki przedmiot na którym obsługujemy Quartusa II i "programujemy" Altera Cyclone IV [ http://www.cl.cam.ac.uk/~fr272/images/im... ] za pomocą bloczków. Chciałbym się trochę zagłębić w temat i tu moje pytanie czy jest jakiś emulator Cyclone IV ? No bo co...
Quartus nie symuluje pll więc musisz sobie sam wygenerować odpowiedni zegar ;) niestety nieprawda; symulator wbudowany w quartus do wersji 9.1 symuluje pll; by przesymulowac projekt z pll w innym symulatorze, jak model/questasim trzeba skompilowac rowniez model pll, ktory jest w kartotece quartusa; j.
jezeli bys sie decydowal, na uklad cpld to dokladanie sprawdz czy ma on 100% mozliwosc laczenia pomiedzy blokami funkcyjnemi, w innym wypaku moze sie okazac ze gdy projekt bedzie zajmowal wiekszosc zasobow ukladu, to przy dokonywamiu zmian(korekt jego pracy), bedzie konieczna zmiana adresow wejsc wyjsc. FPGA z reguly posiadaja wewnetrzna pamiec RAM...
Ja korzystałem i dalej korzystam z Cyclone III oraz darmowego środowiska Quartus II Web Edition (jest już wersja 10.0, ja korzystam z 9.0) i tak jak piszą na stronie producenta nie ma żadnego problemu. Z tego co się orientuję to wszystkie układy Cyclone III można programować przy użyciu tego środowiska. Korzystałem z JTAG (programator ByteBlaster II),...
Zadanie: . Zaprojektować jednostkę arytmetyczno-logiczna, która będzie realizować dodawanie i odejmowanie 4-bitowych liczb binarnych w kodzie U2 oraz ma informować o przekroczeniu zakresu. Zrobiłem taki układ: http://obrazki.elektroda.net/18_12442034... Dobrze zrobiłem ten układ? Jak zrobić, żeby informował o przekroczeniu zakresu? Pozdrawiam...
Wątpię, że popełniam błąd /.../ z gory przepraszam za to nieuprawnione posadzenie :) wydaje mi sie, ze o ile nie znajdziesz kogos, kto rozwiazal juz podobny problem, to pozostaje ci kontakt z support altery, bo trudno na odleglosc diagnozowac zachowanie zle zainstalowanego narzedzia; jesli znajdziesz przyczyne to napisz tutaj, z pewnoscia taka informacja...
Chyba żaden współcześnie produkowany układ CPLD ani FPGA nie współpracuje już z logiką 5V. Niezły kombajn do układów CPLD i FPGA od Altery/Intela to Quartus. Do GALi wolę Opal Jr.
Dzięki bardzo za odpowiedź. Quartusa już zassałem - czas na zabawę ;) \ Temat uważam za zamknięty. pozdrawiam Bwoj
tak wyglada moja licencja: FEATURE quartus_lite alterad 2008.11 15-nov-2008 uncounted \ A991A90614F1 HOSTID=001617a3a4f7 SIGN="0704 9571 4EC1 532D \ FEC4 44A7 D69B 064E 61D9 BAAF 9C49 02D4 8CCF BCA4 ECC1 0402 \ F13B ABD4 359A D25B 9B03 CD44 CDE8 D1F9 E2BA 2EFE C134 85F3 \ 6FE5 B767" INCREMENT alteramtiwe mgcld 2008.11 15-nov-2008 uncounted \ DD68CA55C0CAECFCA11C...
Hey mam problem z ustawieniami quartusa dla powyższej płyty. Miałem kiedyś na zajęciach z układów programowalnych ćwiczenia na płycie DE2. Jednak siadaliśmy na gotowe środowisku gdzie wszystko ustawione. Wystarczyło zrobić projekt, i wgrać exela z pin assigment i potem tylko schemat albo plik AHDLa. Chciałem teraz lepiej poznać FPGA i myślałem że to...
zainstalowałem Quartus-web-13.0.1.232-windows ta wersja ma obsługę tego typu chipów z rodziny EPM700 po kompilacji wyszło coś takiego czy możecie sprawdzić czy niema błędów EPM7128STC100-15N TQFP-100 na 5V w załączniku projekt
W quartrusie służy do tego plik z *.qsf (Quartus II Settings File) Można go modyfikować ręcznie, lub poprzez menu Assignments->.. (device,pins, settings..) Musisz mieć zdefiniowany układ jaki używasz. Potem najlepiej zrobić wstępną synteze. Następnie otwierasz Assignments->pins i podpinasz piny. W polach kolumny "Location" dla każdego sygnału kliknij...
Proponowałbym zacząć od pokazaniu kodu bo po samej nomenklaturze kodu ciężko coś stwierdzić... Ja miałem błąd ale przy innej sytuacji... Wrzuciłem ten błąd w google i: http://www.google.pl/search?q=ERROR%3ANg... Szczerze dalej mi mało mówi prócz braku wsparcia dla bloku "prosty...
Poprawki kolegi sprawdzilem i wydaja sie uzasadnione. Czas kompilacji noralnie wynosil 40min, natomiast po poprawkach 9,5h. Niestety po poprawkach nadal cos jest nie tak. Caly czas szukam bledu ale mi to nie idzie. a co to za poprawki ? w RTL, czy w ustawieniach kompilatora ? takie wydluzenie czasu kompilacji moze byc efektem dwoch rzeczy: - projekt...
A co do tego keep hierarchy to co nieco widziałem moze sie myle, ale jest mala szansa, ze jednak sie nie rozumiemy ... najlepiej wyjasnic sprawe na przykladzie :); module syn_keep ( input clk, in_a, in_b, in_c, in_d, output reg out_a, out_b, out_c, out_d ); wire clkb; wire clkc; wire clkd /*synthesis syn_keep*/; assign clkb = clk; lcell del_clk...
Żeby pobrać soft ( Quartus Lite ) trzeba się rejestrować?
Witam, Mam problem z zaprogramowaniem mikrokontrolera Altera 10M08SAE144C8G Zbudowałem na nim kartridge z tego projektu: https://github.com/robinhedwards/Ultimat... Wiem że nalezy użyć programu Quartus (jest to nawet opisane na Githubie strony autora) oraz USB Blastera. Nie mam doświadczenia w pracy z Quartusem a wydaje mi się że program ten nie obsługuje...
Tak jak napisal marekos projekt w VHDL po syntezie i implementacji moze wyladowac w FPGA. Jesli jest w nim procesor to mozesz napisac program w C ktory po kompilacji i linkowaniu na dana architekture da Ci zawartosc pamieci programu ktora laduje w pamieci podlaczone do procesora w twoim projekcie i gitara gra. Tylko że według mnie budowa procesora w...
Ciekawe ... Spróbuj tak: http://obrazki.elektroda.pl/9651742100_1...
Odpowiedź znajduje się w innym (nowszym) wątku: http://www.elektroda.pl/rtvforum/topic77...
Ja osobiście polecam: Terasic DE0-Nano W wersji edu (jako student) zapłacisz około 370-400 zł. Ogólnie moje doświadczenia z firmą Altera są bardzo dobre - przyjazne i płynnie działające środowisko QUARTUS, nigdy nie miałem problemów ze sterownikami czy innymi pierdołami utrudniającymi pierwsze zaprogramowanie, czego nie można powiedzieć o firmie Xilinx....
Hej 1. Jeśli w aktualnie tworzonym projekcie masz wyłączony JTAG, to musisz wygenerować plik JAM (Quartus nie pozwoli Ci użyć pliku SOF do programowania). 2. Jeśli obecnie EPM3032 ma wyłączony JTAG (nie jest wykrywany przez USB Blaster przy opcji AutoDetect) lub próbujesz nagrać wsad z projektu z wyłączonym JTAGiem, to na pin I/OE1 musisz podać 12 V...
Jak do tego sie zabrać trzeba chyba zaczac do czytania podrecznikow ? znajdz jakikolwiek program napisany w ahdl, zeby zobaczyc jak sie go zaczyna, jak sie deklaruje we/wy, stale i zmnienne, w ktorym miejscu zaczyna sie opis algorytmu; potem napisz licznik mod10, to calkiem proste: jesli 'zegar' to: jesli licznik=9 to licznik = 0 jesli nie to licznik...
Witam Myslę że kodowanie Quartus sam przestawił na binarne, bo ONE-HOT bit stanowczo nie zdaje egzaminu w tak duzych maszynach stanów. Default'owo kodowanie maszyny stanu jest ustawione na AUTO, więc Quartus powinien sam zdecydować, że twoja maszyna stanów ma być kodowana jako binarna. Mozna to sprawdzić w ustawieniach. ( Syntezy i kompilacji ) http://obrazki.elektroda.net/86_12768779...
(at)mongoł2000 cyclone V altery też ma wersje z ARM a jest chyba tańszy. Quartus jak ISE też ma chyba darmową wersję.
Witam, jakim oprogramowaniem można stworzyć bloki funkcjonalne dla tego i podobnych jemu układów (ATF1502AS). Najlepiej gdyby można było tworzyć je w formie graficzne coś jak quartus dla Altera lub VHDL. Programowanie tych scalaków odbywa się po JTAG?
Witam (at)zdzis_ek dziękuję za schemat i wzory płytek (wszystko jest dobrze opisane). Chciałbym zapytać jaki wyszedł koszt płyty pcb SMD którą zamówiłeś w Chinach i czy napotkałeś na jakieś problemy przy uruchamianiu? Mam jeszcze pytanie do kogoś kto ma do czynienia z układami Altera. Pod ty linkiem: [url=http://searle.hostei.com/grant/Mult...
masz racje, ise to uklady Xilinx, quartus to altera; J.A
Symulację robisz w symulatorze. Do Quartusa dołączony jest Modelsim, bardzo dobry symulator który radzi sobie z kodem syntezowalnym jak i nie. Robisz test bench który zawiera Twój projekt oraz model pamięci oraz coś co wymusza na szynie z uC stany - wszystko połączone sygnałami. Quartus wywołuje Modelsim ze skryptów, które przygotowują srodowisko (biblioteki...
na laboratorium korzystalismy z oprogramowania Quartus poprzez USB w systemie win32, opis oczywiscie w VHDLu. na stronie Altery szukaj darmowego oprogramowania(bo jest! ale zdaje sie ze inny anizeli Quartus); z tego co wiem programatory sa dosc drogie. PS zdaje sie ze topic powinien byc przerzucony do Ukladow Programowalnych, a nie mikrokontrolerow...
Jak wgrać program przez Quartus Programmer na konfigurator tej płytki? akurat pare dni temu pisalem instrukcje jak to zrobic; zakladam, ze masz tam jakies EPCQ [flash Altery] w ktorym jest image dla FPGA; przed kompilacja w Quartus: Assignments -> Device -> ‘Device and Pin Options…' wybrac: 'Configuration scheme' i 'Conf. device'...
enable w odwrotnej polaryzacji ustaw. Mój symulator to w quartus II 9.1V
Witam! Widzę, że temat się rozwinął. To dobrze wróży. Ja mam ten analizator na Cyclone i powiem, że spory problem to tutaj wbrew pozorom soft na PC. Quartus Altery jest darmowy jedynie jak ma połączenie z internetem, no i trzeba się zarejestrować, przysyłają wtedy licencję, która działa na pół roku. I takie tam zabawy. A zabezpieczenia Quartusa są dość...
Większość programów do kompilacji projektów (np. Quartus Altery) ma gotowe komponenty do operacji na liczbach zmiennoprzecinkowych.
Quartus jest w dwóch wersjach nie bede opisywał różnic bo można to po prostu przeczytać zaglądając tu http://www.altera.com/literature/po/ss_q... . I nic tam nie widzę na temat ograniczeń ilości liczby elementów. Może małą kość wybrałeś i dlatego taki wniosek. Wątpię aby Altera zrobiła taki krok bo jako jeden z czołowych producentów układów...
quartus modelsim quartus licznik quartus altera
bosch zmywarka odpływ schemat lampa neonowy ampery wolty
sztuka elektroniki sprzedam urządzenia
Junkers Cerastar Smart - kontrolka serwisowa i głośna praca Sterownik ST-480D nie czyta temperatury spalin - przyczyny