altera quartus

Znaleziono około 203 wyników dla: altera quartus
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    Do słowa symulacja zniechęciła mnie uczelnia...nie widząc rzeczywistego urządzenia. jak chcesz, patrzac w rzeczywiste urzadzenie nie widzisz nic ciekawszego niz migajaca Nie wiem co jest grane przy kompilacji pojawia mi się błąd, że outputs are stuck - niektóre pod Vcc a jeden pod GND nie wiedzieć czemu w koncowym raporcie [tej kartce na glownym oknie...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2550
  • REKLAMA
  • MAX7000 - EPM7064LC44 - Jakie środowisko i programator

    Witam! O ile się nie mylę, układy z rodziny MAX7000 bez literki "S" po numerku nie mają możliwości programowania przez JTAG. Co za tym idzie żaden USB-Blaster, czy pokrewne programatory nie pomogą. Potrzebny jest specjalizowany programator, lub jakiś dobry (i bardzo drogi) programator uniwersalny. Są to układy nie wspierane przez Alterę dobre 5-10 lat....

    Programowalne układy logiczne   21 Lis 2013 19:32 Odpowiedzi: 17    Wyświetleń: 3072
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Czy XUP USB-JTAG Progr. Cable jest potrzebny do FPGA Spartan 3?

    Polecam środowisko Altium Designer - oczywiście Altium umożliwia projektowanie FPGA dopiero po zainstalowaniu określonego środowiska typu Xilinx ISE, Actel Libero czy Altera Quartus. Próbowałem zgłębić Xilinx ISE, ale gorzej "skomplikowane" chyba już być nie może. Wygląda, jakby do środowiska z prehistorycznych czasów ciągle dobudowywano pewne "dostawki"....

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9675
  • Licznik modulo 53 na układzie 7493 w Altera Quartus - jak przedłużyć czas trwania liczby 52?

    Ciekawe ... Spróbuj tak: http://obrazki.elektroda.pl/9651742100_1...

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4734
  • Dostępność pakietu MAX+plus II i programowanie układów ALTERA EPM7064LC bez literki 'S'

    Ze strony Intel/Altera mozna sciagnac wczesniesze wersje Quartusa.

    Programowalne układy logiczne   12 Lip 2023 16:02 Odpowiedzi: 5    Wyświetleń: 402
  • REKLAMA
  • Mikrokomputer COBRA 1

    Witam (at)zdzis_ek dziękuję za schemat i wzory płytek (wszystko jest dobrze opisane). Chciałbym zapytać jaki wyszedł koszt płyty pcb SMD którą zamówiłeś w Chinach i czy napotkałeś na jakieś problemy przy uruchamianiu? Mam jeszcze pytanie do kogoś kto ma do czynienia z układami Altera. Pod ty linkiem: [url=http://searle.hostei.com/grant/Mult...

    DIY Konstrukcje   17 Cze 2025 05:16 Odpowiedzi: 1983    Wyświetleń: 275160
  • Altera DE2 Cyclone II - Zegar na wyświetlacz siedmiosegmentowy, Quartus II

    mam nadzieje, ze wiesz jakiej czestotliwosci zegar jest na plytce, i w jakim jezyku masz to napisac; A. -deklarujesz odpowiednio duzy rejestr i dzielisz wejsciowy zegar tak, by dzielnik dawal impuls co sekunde; - zliczasz sekundowe impulsy licznikiem modulo 60, przejscie miedzy 59 a 0 to impuls minutowy - zliczasz impulsy minutowe licznikiem modulo...

    Programowalne układy logiczne   15 Lut 2014 22:53 Odpowiedzi: 5    Wyświetleń: 3198
  • [Wykonam] Projekt na układach programowalnych - Altera

    Witam! Mam pytanie czy pisze pan proste programy zaliczeniowe projektów w środowisku ALtera Quartus? mój nr gg 11689368 pozdrawiam

    Ogłoszenia Elektronika   04 Sie 2010 16:53 Odpowiedzi: 2    Wyświetleń: 1728
  • Język programowania do nauki DSP i FPGA – VHDL czy inny? Polecana literatura

    Również jeśli chodzi o FPGA to polecam VHDL'a.. Dosyć dobrą książką na start z VHDL'em jest "Język VHDL w praktyce" Józefa Kalisza. Natomiast alternatywnym do Xilinx'a środowiskiem do pisania i symulacji FPGA jest Altera ze swoim darmowym Quartus II Web Edition.

    DSP i Transmisja   05 Cze 2010 10:05 Odpowiedzi: 7    Wyświetleń: 4392
  • jednoczesny odczyt i zapis do/z kolejki fifo w vhdl

    przeczytam dzis wieczorem w domu opis tego modulu DCM u xilinxa, a nuz plote bzdury ... przeczytalem, nie znalazlem wyjasnienia wprost, ale wydaje sie, ze mam racje, ze ise oblicza potrzebne opoznienie w ns na podstawie okresu zegara wejsciowego, podobnie jak quartus altery; altera tez, nawiasem mowiac, nie podaje tej informacji otwartym tekstem, trzeba...

    Programowalne układy logiczne   15 Lis 2007 17:23 Odpowiedzi: 57    Wyświetleń: 7438
  • FPGA na początek, kilka pytań od "zielonego"

    Dodałem ścieżkę do bibliotek w opcjach, niestety jest jakiś problem z odczytem tych bibliotek. Mam wiele errorów typu: Error: ModelSim-Altera Error: # ** Error: (vsim-19) Failed to access library 'C:/altera/13.0sp1/quartus/eda/sim_lib' at "C:/altera/13.0sp1/quartus/eda/sim_lib". Ścieżka oczywiście poprawna (było dodawana w opcjach).

    Programowalne układy logiczne   09 Wrz 2019 20:42 Odpowiedzi: 36    Wyświetleń: 2463
  • KrzysioCart Micro SD - rewolucja dla fanów konsoli Pegasus/Famicom.

    Xilinx -> Xilinx ISE 12.4 Altera -> Quartus (zaleznie od układu 9.0 lub 13.0sp1) Altera ma taką politykę, że pewne starsze układy przestają być obsługiwane w nowszych wersjach środowiska, i tak np. układ Acex jest wspierany do wersji 9.0, a Max II (którego tu użyłem) do 13.0. Co ciekawe, od pewnego czasu wersje Quartusa wydawane są już tylko na systemy...

    DIY Konstrukcje   22 Gru 2022 18:12 Odpowiedzi: 106    Wyświetleń: 86580
  • REKLAMA
  • MacBook Air a kompatybilność programów na Politechnice Warszawskiej (Wydział EiTI)

    System operacyjny pod kontrolą którego pracują komputery Apple to macOS. iOS jest dla urządzeń mobilnych. Sam studiuję elektronikę i raczej bez Windowsa nie da rady. Microchip ostatnio wypuścił MPLAB X dla macOS ale działa dużo wolniej niż na Windowsie. W zasadzie wszystkie programy służące do 'pracy' w naszym środowisku są dostępne tylko na Windows...

    Komputery Początkujący   24 Paź 2017 16:16 Odpowiedzi: 2    Wyświetleń: 1341
  • Symulacja wyświetlacza 7-segmentowego w Quartus II - krok po kroku

    Witam Na stronie Altery znajdziesz sporo materiałów które pomogą Ci nauczyć się podstaw obsługi Quartusa. Poniżej link. http://altera.com/education/univ/materia... Pozdrawiam

    Programowalne układy logiczne   14 Gru 2008 14:14 Odpowiedzi: 9    Wyświetleń: 4158
  • Uruchomienie multi frequency sinewave generator w Altium Designer 6 - jak?

    mam jeszcze pytanie... podlaczylem platforme z ukladem cyclone 1 wybralem ten uklad w altiumie i w "Devices" pisze mi "No supported version of altera quartus 2" probowalem zainstalowac i wersje 6 i wersje 7 tyle ze web edition chyba ze jakiejs pełnej wymaga. Tylko zastanawia mnie po co w ogole tutaj quartus jest potrzebny? A uruchomic chce gotowy projekt...

    Programowalne układy logiczne   07 Kwi 2007 21:15 Odpowiedzi: 5    Wyświetleń: 1497
  • Quartus Prime Lite na Linux Mint - błędy w konfiguracji perla?

    Zachciało mi się przypomnieć VHDL-a, więc ściągnąłem darmowego Quartusa ze strony Intela, zainstalowałem pod Mintem i uruchomiłem. Posiłkowałem się książką pana Rzeszuta - start był gładki do momentu aż nie zacząłem generować prostego VHDL-a z PlatformDeveloper-a. Błędy wskazują, jak sądzę na problem z perlem i ścieżką (at)INC, bo skrypt nie znajduje...

    Programowalne układy logiczne   12 Lis 2019 06:47 Odpowiedzi: 2    Wyświetleń: 534
  • Porównanie środowisk Quartus II i MAX+plus II firmy ALTERA

    Czy zna ktoś środowisko Quartus II firmy ALTERA - chciałbym porównać je z MAX+plus II tej samej firmy pozdrawiam Arek

    Programowalne układy logiczne   30 Paź 2008 00:38 Odpowiedzi: 4    Wyświetleń: 2928
  • [FPGA] Jak zacząć z FPGA? Środowisko, języki, producenci, materiały dla początkujących

    producenci są głównie dwaj: Xilinx i Altera i należy mieć odpowiednie środowisko (w obu przypadkach są darmowe wersje) Xilinx ISE, Altera Quartus, najlepiej zdecydować się na płytkę jednego z nich i ściągnąć odpowiednie środowisko. Jeśli masz port LPT to programatory są banalne do zrobienia, gorzej jeśli nie dysponujesz LPT (programator Xilinx'a USB...

    Programowalne układy logiczne   12 Cze 2008 06:50 Odpowiedzi: 3    Wyświetleń: 6240
  • Alternatywa dla MultiSim do symulacji układów logicznych - jaki program?

    My na technice cyfrowej używamy oprogramowania Quartus, do układów altery no ale to ponad 1GB zajmuje.. Ale ogólnie całe oprogramowanie bardzo wszechstronne, posiada symulacje, mógłbyś się tym pobawić.

    Początkujący Elektronicy   14 Maj 2010 22:10 Odpowiedzi: 6    Wyświetleń: 2314
  • Wszystko o układach programowalnych... podstawowe informacje

    masz racje, ise to uklady Xilinx, quartus to altera; J.A

    Programowalne układy logiczne   15 Sie 2008 11:56 Odpowiedzi: 95    Wyświetleń: 15522
  • Jak pobrać Quartus Prime Lite dla użytku domowego lub alternatywy dla FPGA Altery?

    Może to banalny problem, ale jakoś nie mogę go obejść. /.../ I tu mamy do wyboru: duża firma i mała firma. Niestety nie jestem ani jedną ani drugą. A. wybierz/wpisz cokolwiek, to zwykła formalność. J.

    Programowalne układy logiczne   25 Mar 2019 22:28 Odpowiedzi: 2    Wyświetleń: 822
  • Tworzenie bloków funkcjonalnych dla Atmel ATF1502AS - oprogramowanie i interfejs JTAG

    Witam, jakim oprogramowaniem można stworzyć bloki funkcjonalne dla tego i podobnych jemu układów (ATF1502AS). Najlepiej gdyby można było tworzyć je w formie graficzne coś jak quartus dla Altera lub VHDL. Programowanie tych scalaków odbywa się po JTAG?

    Programowalne układy logiczne   06 Sie 2021 19:01 Odpowiedzi: 2    Wyświetleń: 552
  • Emulator lub symulator Altera Cyclone IV kompatybilny z Quartus II – jak testować projekty?

    Witam, Od razu zaznaczę że to moja pierwsza styczność z elektroniką. Mam na zajęciach taki przedmiot na którym obsługujemy Quartusa II i "programujemy" Altera Cyclone IV [ http://www.cl.cam.ac.uk/~fr272/images/im... ] za pomocą bloczków. Chciałbym się trochę zagłębić w temat i tu moje pytanie czy jest jakiś emulator Cyclone IV ? No bo co...

    Programowalne układy logiczne   21 Paź 2011 19:45 Odpowiedzi: 2    Wyświetleń: 2021
  • Mikrokontrolery - Narzędzia i języki programowania

    Na stronie Xilinxa jest darmowe ISE WebPack, Altera daje Quartusa... zacznij od tych

    Mikrokontrolery Początkujący   24 Paź 2015 18:13 Odpowiedzi: 384    Wyświetleń: 239666
  • Kompedium wiedzy na temat CPLD/FPGA

    Myślę, że informacja przyda się wszystkim - Tanie klony programatora USB Blaster od Altery (oparte na procesorze STM + bufor, czerwona PCB, z tyłu footprint na kartę SD i coś w SO08) powodują czasem problemy na najnowszych sterownikach od Altery (Quartus 15.0 i wyższe). U mnie powodowały blue screena i PFN_LIST_CORRUPT. Rozwiązaniem jest zainstalowanie...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35710
  • Wybór procesora i płyty głównej do stacji roboczej pod Windows 10 (VHDL, FPGA)

    Co myślisz o HP Z440? http://www8.hp.com/ca/en/products/workst... Wolę coś standardowego (w sensie sprzętu w srodku), żadnych autorskich rozwiązań, nietypowych zasilaczy czy płyt głównych. I z tego co więcej software w mojej wersji więcej jak 4 rdzeni nie obsłuży (Altera/Intel Quartus).

    Komputery Co kupić?   28 Lip 2017 14:15 Odpowiedzi: 3    Wyświetleń: 603
  • Wybór płyty rozwojowej FPGA: Basys3 Artix-7, Terasic DE0 Cyclone III, DE0-Nano Cyclone IV

    Witaj, Ja osobiście posiadam DE0 z Alterą Cyclone III. Jestem bardzo zadowolony z tego zestawu. Dużo oferuje za w miarę przystępne pieniądze. Polecam sprawdzić popularne portale aukcyjne na których często można znaleźć "perełki". Udało mi się w ten sposób kupić jeden z zestawów Xilinxa za bagatela 400 lub 500 zł (nie pamiętam dokładnie). Facet usiłował...

    Programowalne układy logiczne   16 Maj 2016 21:17 Odpowiedzi: 7    Wyświetleń: 4275
  • Różnice między Quartus a MAX+PLUS II dla syntezy VHDL układów Altera

    Witam! Chciałbym zainteresować się środowiskami projektowymi firmy Altera. Nie wiem na czym polega różnica między tymi dwoma w temacie wiadomości. Ja chciałbym się na razie zająć teoretyczną syntezą (VHDL) układów programowalnych, możliwie najnowszych firmy ALTERA. Pozdrawiam BWOJ

    Programowalne układy logiczne   10 Paź 2008 15:47 Odpowiedzi: 2    Wyświetleń: 1374
  • Jakie małe programowalne układy logiczne mają przyszłość?

    Chyba żaden współcześnie produkowany układ CPLD ani FPGA nie współpracuje już z logiką 5V. Niezły kombajn do układów CPLD i FPGA od Altery/Intela to Quartus. Do GALi wolę Opal Jr.

    Programowalne układy logiczne   01 Cze 2023 08:30 Odpowiedzi: 21    Wyświetleń: 1116
  • Jak poprawić funkcję dzielenia modulo w VHDL bez błędu iteracji?

    Napisałeś to tak, jabyś pisał program, a nie tędy droga ! Pętle nie są syntezowalne, przynajmniej nie takie, jakiej użyłeś. W VHDLu pętle służą do generacji układów o powtarzającym się bloku, np. sumatora wielobitowego. Dzielenie można zrealizować poprzez przesuwanie bitowe liczby w prawo, ale będzie to dzielenie tylko przez potęgi 2. O ile dobrze pamiętam...

    Programowalne układy logiczne   10 Sty 2009 00:21 Odpowiedzi: 13    Wyświetleń: 8963
  • VHDL: Jak zorganizować i odczytać pamięć ROM w Cyclone II (Quartus)?

    Mam pytanie w jaki najprościej można, zorganizować pammięć oraz odczyt z niej w układzie cyclon II altery (quartus), plik incjalizacyjny *.mif (addr:101.......1). Wiem, że można korzystać z Megawizard. Tylko nie do końca wiem jak zrealizować odczyt z pamięci ROM. Bardzo bym prosił jeżeli to możliwe o przykładowy kod organizacji pammięci i jej odczytu....

    Programowalne układy logiczne   25 Sie 2009 08:24 Odpowiedzi: 1    Wyświetleń: 1790
  • ALTERA 3064 - generowanie przebiegu prostokątnego, amplituda 5-10V, 50% wypełnienia

    ten fragment jest wycięty ze sterownika UART'u o prędkości 9600kbps (stąd akurat zegar 9600Hz), który zrobiłem, poza tym tej metody używałem we wszystkich programach, w których potrzebowałem zegara o stałej częstotliwości, w Xilinx ISE (o ile się nie mylę odpowiednik Quartus II) nie można uzyskać z VHDL schematu elektrycznego, ale można wygenerować...

    Programowalne układy logiczne   19 Cze 2007 09:06 Odpowiedzi: 14    Wyświetleń: 3362
  • ModelSim Altera – licencja, aktywacja i uruchomienie z licencją Quartusa

    Czy używaliście może ModelSim od ALTERY? Nie mogę tego uruchomić. Wymaga to jakiejś licencji. Czy to jest płatne, czy licencję zdobywa się tak jak w Quartusie. Wiem, że można wgrać licencję dla Quartusa z ModelSimem, ale ModelSim jakoś nie widzi jej :/

    Programowalne układy logiczne   05 Cze 2008 22:04 Odpowiedzi: 4    Wyświetleń: 1589
  • [Verilog][Modelsim] - Nie inicjalizuje zmiennych i nie propaguje sygnału

    Witam Uczę się Veriloga, środowisko Altera Quartus II 13.1 wraz z Modelsim-Altera 10.1, mam problem z symulacją. Próbuję napisać generator sygnału VGA. Na początku mam dany sygnał 50MHz i chcę go dzielić na dwa otrzymując 25MHz: (fragment kodu z laboratoriów mojego wykładowcy) http://obrazki.elektroda.pl/3648728400_1... Obszedłem problem...

    Programowalne układy logiczne   07 Kwi 2014 11:58 Odpowiedzi: 3    Wyświetleń: 1914
  • sterownik akwizycji probek - cpld/fpga - programator

    jezeli bys sie decydowal, na uklad cpld to dokladanie sprawdz czy ma on 100% mozliwosc laczenia pomiedzy blokami funkcyjnemi, w innym wypaku moze sie okazac ze gdy projekt bedzie zajmowal wiekszosc zasobow ukladu, to przy dokonywamiu zmian(korekt jego pracy), bedzie konieczna zmiana adresow wejsc wyjsc. FPGA z reguly posiadaja wewnetrzna pamiec RAM...

    Programowalne układy logiczne   10 Lip 2008 14:02 Odpowiedzi: 4    Wyświetleń: 1860
  • Wybór układu FPGA dla początkującego elektronika.

    Witam serdecznie. Jestem studentem II roku EiT, ostatnio zacząłem na laboratoriach z Techniki Cyfrowej przygodę z programowaniem płytki DE1 Altera w programie Quartus II i chciałbym pogłębiać swoją wiedzę również poza zajęciami. Rozglądając się po Ebay'u natknąłem się na taką płytkę: FPGA Cyclone Altera FPGA EP1C3T144 Development Learning Board Szukam...

    Projektowanie Układów   31 Paź 2014 16:27 Odpowiedzi: 1    Wyświetleń: 1761
  • Nieprawidłowe czasy stanów w maszynie stanów AHDL w Quartus II Altery

    Czesc, Nie mam zielonego pojecia o AHDL i nie znajac jak dobry jest symulator tego jezyka ani co w zasadzie z niego bedzie w FPGA to strzelam :) A probowales zamienic if ... end if; na if .. else ostatnie przypisanie end if? Cos sie zmienilo? A tak apropo Altera dalej ma support dla tego jezyka i dalej go rozwijaja i poprawiaja symulacje i synteze czy...

    Programowalne układy logiczne   20 Mar 2007 09:31 Odpowiedzi: 3    Wyświetleń: 1562
  • CarProg klon - Nie zapisuje flash MCU MOTOROLA HC908

    Już kupiłem ten z allegro ale mam jeszcze tego chińczyka i chętnie z nim poeksperymentuję zanim go odddam. 081105CAR001773I.lib Kupiłem tani "Altera Programmer FPGA CPLD JTAG (Quartus USB Blaster-Compatible)", da radę?

    Samochody Elektryka i elektronika   03 Wrz 2017 10:25 Odpowiedzi: 6    Wyświetleń: 3681
  • Układ programowalny zamiast płyty z ttl-ami. Jak zacząć?

    Jak zacząć, na ile jest to wykonalne i czego szukać? nie znam rynku, bo wlasnorecznie nic nie kupuje, ale skoro procz mini wykladu z pld jeszcze nic nie dostales, to napisze pare slow; po pierwsze po co ci to ? to co masz obecnie nie dziala ? jesli dziala, to zostaw tak jak jest, po co ci klopoty ? jesli musisz zmienic, i czas goni, to znajdz kogos,...

    Programowalne układy logiczne   19 Lis 2007 23:53 Odpowiedzi: 18    Wyświetleń: 4047
  • Wybór FPGA na pracę dyplomową - Cyclone Altera EP1C3T144 czy coś innego?

    Ja osobiście polecam: Terasic DE0-Nano W wersji edu (jako student) zapłacisz około 370-400 zł. Ogólnie moje doświadczenia z firmą Altera są bardzo dobre - przyjazne i płynnie działające środowisko QUARTUS, nigdy nie miałem problemów ze sterownikami czy innymi pierdołami utrudniającymi pierwsze zaprogramowanie, czego nie można powiedzieć o firmie Xilinx....

    Programowalne układy logiczne   19 Maj 2016 09:45 Odpowiedzi: 4    Wyświetleń: 4422
  • Jakie zasoby CPLD/FPGA dla 3 rejestrów 512-bit i 64 komparatorów 8-bit?

    Z oszacowaniem nie ma problemu. Napisz projekt a potem dobierasz sobie układ. Tak się zawsze robi. I nie zastanawiaj się nad tym czy CPLD czy FPGA. Najpierw opisz strukturę tego co potrzebujesz w językach z grupy HDL(VHDL czy verilog) podaj syntezie w jakim środowisku Quartus (altera)czy ISE (xilinx) i otrzymasz konkretną odpowiedź czego potrzebujesz....

    Programowalne układy logiczne   21 Maj 2011 09:23 Odpowiedzi: 2    Wyświetleń: 1518
  • Quartus II 7.0 i układ ALTERA MAX 7xxxx - jak stworzyć generator 20-25 kHz?

    Układ ALTERA to 3064 Jak uzyskać generację przebiegu prostokątnego ? Czestotliwość, amplituda i wypełnienie będą stałe. Czy użyć gotowego oscylatora (jeśli taki jest)? Czy można zbudować "generator" na elementach logicznych ?

    Programowalne układy logiczne   19 Cze 2007 00:39 Odpowiedzi: 3    Wyświetleń: 2117
  • VHDL - Szybkie dodawanie liczb zmiennoprzecinkowych

    Większość programów do kompilacji projektów (np. Quartus Altery) ma gotowe komponenty do operacji na liczbach zmiennoprzecinkowych.

    Programowalne układy logiczne   06 Cze 2009 15:34 Odpowiedzi: 2    Wyświetleń: 2253
  • machxo2/verilog - nie działają połączenia wire między licznikami - początkujący

    Podobnie zachowywal sie taki kod: tez chcialbym zrozumiec ... oba przyklady powyzej sa funkcjonalnie identyczne , verilog jako default przyjmuje, ze sygnaly sa wire, jesli nie zadeklarujesz inaczej: "`default_nettype none"; dla samej nauki veriloga te 'wpadki' nie maja wiekszego znaczenia, zachowanie ktore opisujesz wydaje sie specyficzne dla konkretnej...

    Programowalne układy logiczne   30 Mar 2013 00:09 Odpowiedzi: 15    Wyświetleń: 2931
  • Quartus Jak włączyć symulacje i dodać sygnały.

    w duzym skrocie: quartus do wersji 10 file -> new -> 'Vector Wavefile' potem w prawym polu 'Name' 2xclick, pojawi sie okienko, click na 'Node Finder' i mozesz dodac I/O + dowolne sygnaly wewnetrzne, po dodaniu, za pomoca ikonek w oknie waveform mozesz 'narysowac' przebiegi wejsc; quartus wszystkie wersje musisz miec zainstalowane modelsim lub questasim...

    Programowalne układy logiczne   15 Maj 2011 11:50 Odpowiedzi: 5    Wyświetleń: 2530
  • Optymalizacja magistrali danych w VHDL dla FPGA - jak zredukować zasoby?

    Układ Altera FLEX10k środowisko Quartus II. No właśnie wywiedziałem się z dokumentacji WISHBONE że mam 3 możliwości: bufory 3 stanowwe multiplexery i bramki and-or. Multiplexery mniej więcej czaję ale nie wiem jak sie je robi wg sztuki. Ogólnie to one same się robią. Bramki dam rade. jak robie bufory 3 stanowe to są warningi że robi z nich bramki. Więc...

    Programowalne układy logiczne   01 Sie 2007 12:45 Odpowiedzi: 2    Wyświetleń: 1890
  • ALTERA Cyclone III - Karta graficzna - nakładanie się danych do zapisu z danymi

    jaką sugerujesz zmianę /.../ jesli chodzi o sam automat, ktory 'przelacza' odczyt i zapis, to zrobilbym to jakos tak: [syntax=verilog] module sram_read_write #( parameter ADR_W = 9, DAT_W = 8 ) ( input clk, input rst_n, input mcu_wr, input [ADR_W-1:0] mcu_adr, input [DAT_W-1:0] mcu_data, output reg [ADR_W-1:0] sram_adr, inout [DAT_W-1:0] sram_data,...

    Programowalne układy logiczne   16 Lis 2013 19:41 Odpowiedzi: 7    Wyświetleń: 3033
  • Analizator usbee i saleae w jednym.

    Schemat do AX jest np. tutaj http://www.ba0sh1.com/saleae-pwm-generat... Co do byte blaster to jest on obsługiwany przez quartusa altery. Mój klon jest na stm32, więc nie wiem czy ten będzie działał bezpośrednio z quartusem. W każdym razie też jestem zainteresowany uruchomieniem tego.

    DIY Konstrukcje   16 Sty 2015 14:28 Odpowiedzi: 33    Wyświetleń: 22515
  • Quartus II , VHDL. Gdzie znajdę informacje o max szybkości pracy układu?

    Witam, Mam pytanie do znających narzędzie Quartus (Altery) Po wykonaniu projektu kompilacji i symulacji: Gdzie można znaleść informację o max szybkości pracy układu ? Pozdrawiam

    Programowalne układy logiczne   04 Mar 2007 23:25 Odpowiedzi: 1    Wyświetleń: 2130
  • Filtracja sygnałów z pomiarów przy użyciu FPGA - eliminacja szybkozmiennych zakłóceń

    Mam sygnał z pomiarów wielkości, która zmienia się powoli, na który nakładają się szybkozmienny zakłócenia. Potrzebuję pomysłu na prosty (bo do zaimplementowania w FPGA z oszczędzaniem zasobów) sposób eliminacji tych zakłóceń. HDL Coder z pakietu Matlab generuje VHDL na podstawie wyklikanego filtra. Dodatkowo w pakiecie są narzędzie które potrafią...

    DSP i Transmisja   23 Paź 2021 09:55 Odpowiedzi: 27    Wyświetleń: 963
  • [FPGA] Minimalistyczne środowisko dla FPGA bez automatycznych przypisań pinów?

    Nie wiem jak teraz, ale kiedyś za bardzo nie było wyboru jeśli chodzi o środowisko dla układów Altera. A co dokładnie nie pasuje Ci w Quartus II?

    Programowalne układy logiczne   21 Cze 2015 09:34 Odpowiedzi: 8    Wyświetleń: 2253
  • Altium plytka. Przesylanie pliku konfiguracyjnego (.bit)

    Szkoda, że nie kupiłeś płytki z układem Cyclone Altery. Masz darmowe oprogramowanie Quartus II

    Mikrokontrolery   11 Gru 2005 12:32 Odpowiedzi: 5    Wyświetleń: 1769
  • Sterowanie liniami we/wy (przełączanie wejść na różne wyjścia)

    Wyjście odwzorowaniem wejścia. To ma działać jak zwykłe zworki, tylko sterowane elektronicznie (żeby nie trzeba było ręcznie przerzucać magistrali). Jeżeli chodzi o wprowadzoną dodatkową oporność, to myślę że nawet do 100omów/linia będzie ok. Przełączenie będzie na polecenie programu - sam czas przełączenia nie gra roli (mogą być nawet dziesiątki milisekund)....

    Mikrokontrolery   02 Sty 2012 19:47 Odpowiedzi: 10    Wyświetleń: 1665
  • Jak zsyntezować procesor w Quartus II 7.0 i zaprogramować FLEX 10K20?

    Witam! Na wstepie dodam ze dopiero zaczynam z ukladami programowalnymi takze jakby co to nie krytykujcie mnie zbyt mocno. Mam pewien problem a dokladnie chodzi mi o to ze mam napisany prosty procesor w Active-HDL-u, pliki .vhd i block diagram .bde. To co napisalem mam zsyntezowac w Quartusie a pozniej zaprogramowac FLEX-a 10K20... <- ukladzik jest...

    Programowalne układy logiczne   23 Maj 2007 09:03 Odpowiedzi: 3    Wyświetleń: 1827
  • Programowalny sterownik zupełnie inaczej

    To jakby teraz uczyć w szkołach programowania Z-80, DOS-owego Pascala, Logo. Z80 i dos Pascala miałem na studiach :) w 1997r. Ciekawe ile lat jeszcze tego uczyli. Tragedia. Logo dzieci mają teraz w podstawówce, nazywają to Logomocja Co do walorów edukacyjnych, to stosowanie klasycznych układów logicznych też nic nie wnosi. To też jest "kamień łupany",...

    DIY Konstrukcje   21 Cze 2018 22:00 Odpowiedzi: 38    Wyświetleń: 12426
  • Altera-EP320-PC-2 jak zaprogramować lub skopiować.

    na laboratorium korzystalismy z oprogramowania Quartus poprzez USB w systemie win32, opis oczywiscie w VHDLu. na stronie Altery szukaj darmowego oprogramowania(bo jest! ale zdaje sie ze inny anizeli Quartus); z tego co wiem programatory sa dosc drogie. PS zdaje sie ze topic powinien byc przerzucony do Ukladow Programowalnych, a nie mikrokontrolerow...

    Programowalne układy logiczne   12 Mar 2007 13:49 Odpowiedzi: 8    Wyświetleń: 2083
  • FPGA – jak zaimplementować własny core z OpenCores i połączyć z innymi blokami?

    Witam Na poczatek proponuję e-book'a VHDL Cookbook: [url=http://tams-www.informatik.uni-hamb... Jest tam dosyć dobrze opisana implementacja procesora 32 bitowego ( od podszewki ). A jeżeli koledze nie zależy na implementacji wszystkiego od podstaw to polecam PSOC Designer, zawarty w Quartus firmy Altera. Jest...

    Programowalne układy logiczne   06 Paź 2009 14:37 Odpowiedzi: 11    Wyświetleń: 2147
  • ALTERA EPM7064LC44-10 Szukam programatora ALL07 (PLCC-44 )

    ja programowałem serie 7000 przy pomocy usb-blaster super działa pod quartusem 7.2 bez probelmu . progamator kupiłem na allegro za 90 zł.

    Programowalne układy logiczne   12 Lut 2020 08:43 Odpowiedzi: 11    Wyświetleń: 1938
  • [FPGA] Czym są układy FPGA i jakie mają zastosowania?

    ISE Web Pack od Xilinxa jest w 100% darmowy. Nie ma ograniczeń czasowych. Kiedyś nie działał dla Virtexów, teraz nie wiem jakie dokładnie są jego ograniczenia. Podobnie jest z Quartus II Altery czy Libero Actela. Oba wymagają licencjonowania, ale licencję dostaje się za darmo.

    Mikrokontrolery   14 Wrz 2009 10:19 Odpowiedzi: 25    Wyświetleń: 7376
  • Programowanie układu Altera EPM7064S przez JTAG na Windows XP - jakie oprogramowanie?

    (...) trzebuję porady jak to zaprogramować. Mogę zrobić sobie prosty układ ByteBlaster na LPT. Mam komputer z LPT i Windowsem XP. Zamówiłem też USB Blaster ale dojdzie mi w przyszłym tygodniu. Plik pof mam do tego układu. Jakie oprogramowanie jest potrzebne do zaprogramowania EPM7064S? I skąd je ściągnąć? Cześć! Super, że chcesz się nauczyć programować...

    Programowalne układy logiczne   17 Maj 2024 10:30 Odpowiedzi: 1    Wyświetleń: 279
  • FPGA Altium Designer 09 - Błąd NgdBuild:604 przy wgrywaniu komponentu

    Proponowałbym zacząć od pokazaniu kodu bo po samej nomenklaturze kodu ciężko coś stwierdzić... Ja miałem błąd ale przy innej sytuacji... Wrzuciłem ten błąd w google i: http://www.google.pl/search?q=ERROR%3ANg... Szczerze dalej mi mało mówi prócz braku wsparcia dla bloku "prosty...

    Programowalne układy logiczne   29 Lip 2010 13:08 Odpowiedzi: 8    Wyświetleń: 2583
  • Altium Designer 6 i płytka testowa z układem FPGA Altery

    Już sobie poradziłem ze wszystkim :) opuściłem jeden dzień szkoły ale za to nauczyłem się obsługi Quartusa II, prosto z tutorialu Altery. Zamykam.

    Programowalne układy logiczne   20 Kwi 2011 17:16 Odpowiedzi: 3    Wyświetleń: 2020
  • ESP8266 160MHz - generowanie szybkiego przebiegu 10-30MHz na pinie

    Jakie IDE zainstalować na WIN7 do EPM3064? Z tego co rozumiem możesz tylko używać programów od producenta układu czyli Intela/Altery (Altera została przejęta przez Intela), to będzie "Quartus Prime". Jest wersja darmowa i odpłatna. Darmowa zazwyczaj dla mniejszych układów jest OK. Musisz uważać też na wersję bo nie wszystkie wspierają wszystkie rodziny....

    ESP8266 i ESP32   05 Cze 2019 20:00 Odpowiedzi: 16    Wyświetleń: 1035
  • Jak zaprogramować uC do prostych funkcji logicznych?

    Mam do was takie pytanie gdyż nie jestem pewny. Mianowicie od czasu do czasu potrzebuje zrobić jakiś układ logiczny który ma realizować jakas tam funkcje . Jak do tej pory robilem takie rzeczy układach seri 74xx. Idealnie nadadzą do tego się układy CPLD, 32/36 makrocelle kupisz już za 5 zł. A funkcje logiczne cię nie ograniczają, możesz zrobić całkowicie...

    Mikrokontrolery AVR   17 Lip 2011 20:06 Odpowiedzi: 16    Wyświetleń: 2993
  • Chipscope nie działa w Synplify 8.9 - problem z IP CORY: ICON i ILA

    /.../Jednak kiedy syntezuję układ używając Synplify 8.9/.../ ISE to co prawda nie moja dzialka, ale w quartus jest podobnie, nie da sie zrobic syntezy projektu z SignalTap - odpowiednikiem Chipscope Xilinx - za pomoca innego narzedzia niz quartus; z pewnoscia w dokumentacji Chipscope jest informacja jak postepowac w takim przypadku, moja sugestia jest...

    Programowalne układy logiczne   29 Gru 2008 16:52 Odpowiedzi: 2    Wyświetleń: 1046
  • Jak ustawić ścieżkę biblioteki przy instalacji Modelsim Altera Starter Edition?

    Witam, Pobrałem ostatnio Quartusa II 11sp2 ze strony Altery oraz Modelsim altera starter edition. Quartus zainstalował się bez problemów natomiast Modelsim przy instalacji chce abym wskazał sciezke biblioteki instalacyjnej. Domyślam się ze musze dodac jakąś zmienną srodowiskową tylko nie wiem jaką? Orientuje sie ktoś co to za scieżka?

    Programowalne układy logiczne   20 Mar 2012 11:29 Odpowiedzi: 0    Wyświetleń: 1448
  • Jakie parametry PC są kluczowe dla szybszej syntezy FPGA?

    Każdy kto zajmuje się programowaniem FPGA/CPLD zapewne nie raz zastanawiał się, co zrobić aby skrócić czas kompilacji/syntezy/fitowania projektu. Jak zapewne wiadomo, narzędzia do syntezy (zwłaszcza te darmowe dostarczane przez producentów logiki programowalnej) nie są dostosowane do pracy wielowątkowej, tak więc współczesnie stosowane w komputerach...

    Projektowanie Co i Gdzie Kupić ?   29 Wrz 2012 20:48 Odpowiedzi: 26    Wyświetleń: 4418
  • Wykonanie PCB i zakup Xilinx'a do analizatora stanów logicznych Minila

    Witam! Widzę, że temat się rozwinął. To dobrze wróży. Ja mam ten analizator na Cyclone i powiem, że spory problem to tutaj wbrew pozorom soft na PC. Quartus Altery jest darmowy jedynie jak ma połączenie z internetem, no i trzeba się zarejestrować, przysyłają wtedy licencję, która działa na pół roku. I takie tam zabawy. A zabezpieczenia Quartusa są dość...

    Mikrokontrolery   17 Kwi 2009 09:51 Odpowiedzi: 76    Wyświetleń: 18614
  • Przenośny dwukanałowy oscyloskop cyfrowy na FPGA & ARM (max 250MSPS/kanał)

    (at)mongoł2000 cyclone V altery też ma wersje z ARM a jest chyba tańszy. Quartus jak ISE też ma chyba darmową wersję.

    DIY Konkurs   22 Sty 2018 21:36 Odpowiedzi: 50    Wyświetleń: 18693
  • Jak zmusić Quartusa do użycia clock enable w przerzutniku D?

    Czesc, Z wysylaniem zegara z FPGA to nie taka prosta sprawa i jak juz J.A zauwazyl, najlepiej jest jak zegar jest na PCB i idzie zarowno do FPGA (dedykowane wejscie) jak i do odbiornika. Wtedy zarowno FPGA jak i odbiornik sa perfekcynjie synchroniczne. (o ile zegar jest routowany jako zegar na PCB czyli dociera do wszystkich ukladow w tym samym czasie)....

    Programowalne układy logiczne   07 Sty 2008 10:00 Odpowiedzi: 9    Wyświetleń: 2921
  • Jak wygenerować kod VHDL z języka wyższego poziomu dla Spartan II?

    Quartus jest w dwóch wersjach nie bede opisywał różnic bo można to po prostu przeczytać zaglądając tu http://www.altera.com/literature/po/ss_q... . I nic tam nie widzę na temat ograniczeń ilości liczby elementów. Może małą kość wybrałeś i dlatego taki wniosek. Wątpię aby Altera zrobiła taki krok bo jako jeden z czołowych producentów układów...

    Programowalne układy logiczne   19 Sie 2011 14:01 Odpowiedzi: 3    Wyświetleń: 2153
  • Symulacja czasowa i odczyt maksymalnej częstotliwości w Quartus II

    Od wersji 11.0 nie ma wbudowanego symulatora, trzeba dograć dodatkowe oprogramowanie, np: - ModelSim-Altera (ciężki i raczej nieporęczny) - Altera U.P. Simulator (osobiście używam i chwale sobie) W starszych wersjach Quartusa był wbudowany symulator, używałem kiedyś wersji 9.0 i też bardzo sobie chwaliłem (chyba najlepiej z tego wszystkiego to działało)

    Programowalne układy logiczne   24 Sie 2013 20:11 Odpowiedzi: 1    Wyświetleń: 1872
  • Jak uzyskać zegar 3Hz z 50MHz z wypełnieniem 90% w Verilog?

    Takie pytanie jeszcze w tym miejscu: Mam płytkę Altera CycloneII EP2C5T144 FPGA Mini Development Board. Jak wgrać program przez Quartus Programmer na konfigurator tej płytki? Gdy otwieram programmer'a i naciskam start program owszem ładuje się, ale po odłączeniu zasilania wraca do programu startowego.

    Programowalne układy logiczne   10 Cze 2013 14:13 Odpowiedzi: 4    Wyświetleń: 2505
  • Jak podłączyć kwarc do dedykowanego wejścia clock w FPGA Altera?

    /.../ bez urazy, ale musialem to przeczytac pare razy, by zrozumiec o co chodzi ... ;) sprobowalbym 2 rzeczy: 1. puscic zegar z tego kwarcu na clkctrl modul input ext_osc_clk; // twoj oscylator inout dummy_clk_out; // 'niby' wyprowadzamy zegar na zewnatrz // ale przez pin dwukierunkowy _INOUT_! input dummy_clk_enable; // cos, co 'niby' steruje buforem...

    Programowalne układy logiczne   23 Gru 2007 00:37 Odpowiedzi: 3    Wyświetleń: 1395
  • Jak zacząć z CPLD i FPGA: wybór układu, narzędzia, programowanie VHDL

    Poznalem troche mikrokontrolery i chcialbym sie pobawic z ukladami programowalnymi, ale nie wiem o nich zbyt wiele. W szkole robimy jakies proste projekciki w Altium Diesighner na fpga Xilinx'a ale tam mamy gotowa plyte do zabawy, rysujemy schemat w ww srodowisku i wszystko dziala. Niestety nie stac mnie na kupienie plyty z FPGA za 500zl a do tego...

    Programowalne układy logiczne   12 Paź 2010 21:41 Odpowiedzi: 16    Wyświetleń: 14486
  • AHDL licznik modulo 10: mikrooperacje zeruj, -1, +2, neguj, wyjście Y dla >=5

    jak Ty zaczynales to odrazu byles wszechwiedzacy? nie zaperzaj sie zeusie, ale zrob cos sam, pokaz co zrobiles i gdzie/z czym masz problem, a wtedy wszystkowiedzacy uzytkownicy elektrody z pewnoscia Ci pomoga; ahdl to jezyk programowania fpga stworzony przez altere i tylko przez narzedzia altery rozumiany, wiec by cokolwiek zrobic z tekstem napisanym...

    Programowalne układy logiczne   14 Sty 2009 15:55 Odpowiedzi: 18    Wyświetleń: 4139
  • Wybór FPGA Altera Cyclone i zestawu ZL11PLD dla początkującego - opinie?

    moim zdaniem zaczynasz od zlej strony, najpierw powinienes wiedziec co chcesz zaprojektowac, a potem szukac kitu, ktory spelnia wymagania twojego pomyslu za najmniejsze pieniadze; do mrugania diodami wystarczy byle co, recznie polutowana plytka z najmniejszym ukladem; jeszcze taniej, bo za darmo, symulator; do nauczenia sie jezyka wystarczy kompilator,...

    Programowalne układy logiczne   22 Wrz 2007 18:24 Odpowiedzi: 10    Wyświetleń: 2598
  • Czy Quartus 9.0 i ModelSim Altery działają na Windows 7?

    Czy ktoś już testował czy najnowszy Quartus i ModelSim od Altery działają pod Windowsem 7?

    Projektowanie PCB   10 Maj 2009 16:48 Odpowiedzi: 0    Wyświetleń: 771
  • Jak stworzyć regulowany generator prostokąta 2MHz na FPGA Altera?

    Witam. Jestem zupełnie zielony jeśli chodzi o alterę, w związku z tym potrzebuje pomocy przy następującym zadaniu, będącym elementem projektu: generator prostokąta, F=2MHz, Fprf od 2 do 20 kHz, czas emisji od 4 do 12 okresów fali podstawowej, regulacja odstępu między kolejnymi wysyłanymi paczkami. Nie mam pojęcia jak się za to zabierać, więc będę wdzięczny...

    Początkujący Elektronicy   01 Lut 2009 09:00 Odpowiedzi: 4    Wyświetleń: 1544
  • Darmowe środowiska i symulatory VHDL dla FPGA - co polecacie?

    Tak, płytka Terasic DE0-Nano jest bardzo dobra na początek. Można kupić dość tanio w Kamami. Cyclone IV na pokładzie daje sporo możliwości, zarówno przy projektowaniu "zwykłej logiki" chociażby w VHDL/Verilogu jak też daje możliwość pobawić się trochę w uruchomienie całego systemu mikroprocesorowego, gdzie mógłbyś połączyć programowanie w C z projektowaniem...

    Programowalne układy logiczne   23 Sie 2014 05:58 Odpowiedzi: 7    Wyświetleń: 2655
  • Altera EPM7032 - błędy przy przypisywaniu pinów w kompilacji Verilog

    Witam ,Dyskusja w temacie Alery i dlatego sie wtrącam. Po pierwsze –jestem zielony w Alterach . A problem jest następujący. Mam płytę z działającym skonfigurowanym układem Altery EP1C6, gniazdem ITAG i programator ByteBlaster MV. Jak odczytać zainstalowaną konfiguracje w tym układzie posługując się Quartus’em II ? Czy muszę dysponować plikiem...

    Programowalne układy logiczne   05 Lip 2009 18:20 Odpowiedzi: 7    Wyświetleń: 1656
  • Jak przetestować procesor w Quartus II v.10.0 SP1 Student Edition?

    Właśnie ściągam ModelSim-a ze strony Altery. Oblukam co tam dali i zobaczę. Wiadomo coś jak to połączyć z Quartusem żeby zasymulować układ?

    Programowalne układy logiczne   11 Gru 2010 10:50 Odpowiedzi: 3    Wyświetleń: 1848
  • Wybór płytki FPGA dla początkującego: Basys3 czy DE10-Lite?

    Hej. Mam do Was pytanie - od kilku dni zastawiam się jak zacząć z FPGA. Może na początku: Zamierzam pisać w Verilogu, gdyż jestem programistą, a Verilog jest podobno dość "strukturalny" (choć może się okazać, że VHDL bardziej do mnie przemówi). Znalazłem dwa moduły, któe wydają mi się OK na początek przygody z FPGA: https://kamami.pl/zestawy-uruchomieniowe...

    Programowalne układy logiczne   25 Lip 2018 17:24 Odpowiedzi: 12    Wyświetleń: 1365
  • Quartus, Nios II: Jak wysłać zapytanie HTTP z Altera DE2 i CS8900?

    Witam, Musze w projekcie wywolac adres strony wraz z parametrem i otrzymac odpowiedz(0/1). Od czego powinienem zaczac? Przyklady jakie znajduje w sieci sa dosc rozbudowane i to raczej web servery a ja potrzebuje tylko wyslac zapytanie do web servera:) Altera DE2, modul ethernet CS8900

    Programowalne układy logiczne   12 Gru 2011 20:37 Odpowiedzi: 0    Wyświetleń: 837
  • [VHDL] Jak przesunąć sygnał a0 o 1 bit w lewo w automacie 2-procesowym?

    Używam Quartusa II Altery do symulacji. Dobry pomysł z 3 procesem zarządzającym tylko rejestrem przesuwającym, tak to chyba powinno działać, automat wyjściami steruje pozostałymi blokami. Masz może jakieś linki do bardziej zaawansowanych automatów? przykłady które widziałem były na tyle proste że ustawiały wyjście całego układu na podstawie 1 bitu wejściowego,...

    Programowalne układy logiczne   03 Maj 2010 23:59 Odpowiedzi: 2    Wyświetleń: 1136
  • Altera MAXplus+II - jak zasymulować zbyt duży układ?? HELP!

    W takim razie zdecydowanie lepszy będzie Quartus II. Ma doskonalszy kompilator, a więc te same projekty zajmują mniej zasobów logicznych, jak również dostępnych jest więcej bibliotek nowych i większych układów. Pozdrawiam.

    Mikrokontrolery   10 Sty 2006 00:04 Odpowiedzi: 9    Wyświetleń: 1362
  • Jak tworzyć i obsługiwać pliki .ucf w Max+Plus II i Quartus dla MAX II Kit?

    UCF jest only for Xilinx FPGA, jak jestes fanem Xilinxa a musisz walczyc z Altera to polecam zaczac od tej noty http://www.altera.com/literature/an/an30...

    Programowalne układy logiczne   18 Sty 2007 12:29 Odpowiedzi: 2    Wyświetleń: 1457
  • Sterownik matrycy LCD w układzie Altera Cyclone III

    Witam, tematem mojej pracy inżynierskiej jest sterownik matrycy LCD. Z Uczelni wypożyczyłem układ Altera Cyclone III i muszę zaprogramować wyświetlacz 128x64 px w środowisku Quartus. Wykonywałem w nim jakieś proste programy jednak ten wyświetlacz mnie oraz mojego promotora trochę przerósł :P W dodatku w internecie strasznie trudno znaleźć jakiekolwiek...

    Programowalne układy logiczne   11 Gru 2015 12:25 Odpowiedzi: 15    Wyświetleń: 2727
  • [Kupię] Kontrolery EPM3032 lub EPM3064

    Jedno moge powiedziec od razu sciagaj Quartusa 7 czyli pakiet od Altery z sieci . Na rapidshare jest tam witaminka i działa w wersji FULL . Ja dopiero zrobilem płytke do USB Blastera w tygodniu postarm sie uruchomic to założe jakis wątek na forum na ten temat. Pozdrwiam

    Elementy elektroniczne Bazar   24 Paź 2009 23:35 Odpowiedzi: 6    Wyświetleń: 1167
  • VHDL - PWM przy zboczu opadajacym - kod do weryfikacji

    Polecam sprawdzić działanie kodu w jakimś symulatorze np. ModelSim (dodawany do Quartusa II Altery) albo ISIM (w pakiecie Xilinxa) Kod wygląda na poprawny (tyle że ma dwa sygnały "zegarowe"). Przy takim małym projekcie to nie ma tak dużego znaczenia, ale lepiej oszczędzać linie zegarowe w układzie FPGA (bo jak będziesz robił jakiś większy projekt -...

    Programowalne układy logiczne   13 Cze 2013 07:06 Odpowiedzi: 5    Wyświetleń: 2097
  • Wybór układu FPGA z HPS do nauki i projektów dźwiękowych oraz identyfikacji

    Te płytki które podałeś są spoko ale ZedBoard 319$ troche dużo (2x wiecej niż z altery) jeszcze ZYBO wygląda fajnie ale chyba zostane przy tym altery. Na uczelni jak mielismy zajęcia to Quatras się lepiej sprawował. Oprogramowanie dla ZYNQ'a jest zupełnie inne niż dla starszych FPGA Xilinxa - Vivado zupełnie inaczej się zachowuje niż ISE i moim zdaniem...

    Programowalne układy logiczne   22 Paź 2014 11:55 Odpowiedzi: 4    Wyświetleń: 2889
  • Szukam opisu wyprowadzeń 100-pin ALTERA CPLD EPM3064ati100-10n do ZL32PRG

    Dziękuję. Jeszcze pytanie. Podpięcie: TMS-15 TCK-62 TDI-4 TDO-73 VCC- 34 GND- 95 Czy tak?. Na zdjęciu w quartus mam tylko TDI i TDO. https://www.intel.com/content/dam/www/pr...

    Mikrokontrolery   02 Sty 2019 22:49 Odpowiedzi: 13    Wyświetleń: 1023
  • Jak zaprojektować filtr FIR dolnoprzepustowy w VHDL dla FPGA Altera?

    Nie radzę używać środowiska MAX+PLUS II. Z resztą nie tylko ja, ale sam producent tego softu też ma takie zdanie. Od dłużzsego czasu środowisko to zostało zastąpione przez Quartus II obecnie w wersji 5. Dla układów Altery należy więc używać tego programu. Oprócz obsługi nowych układów, również w przypadku starszych układów zdecydowanie poprawione są...

    Mikrokontrolery   23 Sty 2006 19:54 Odpowiedzi: 13    Wyświetleń: 3540
  • Czy Altera CPLD 3064 z serii Max 3000A ma wbudowany zegar wewnętrzny?

    Mam pytanie czy te układy seria Max 3000A mają jakiś zegar wewnętrzny, choćby wolny, i jak on jest dostępny z poziomu Quartusa. A jeśli nie ma to czy nie wystarczy użyć poprostu kwarcu ? jeśli tak to gdzię ?? w miejscu GClock?

    Programowalne układy logiczne   13 Lip 2010 18:24 Odpowiedzi: 2    Wyświetleń: 1590
  • Jak poprawnie podać sygnały wejściowe do FIR Compiler Altera w modulatorze sigma-delta?

    /.../przestrzegał przed takowym postępowaniem/.../ sa sytuacje, kiedy jest to calkiem bezpieczne, czasami trzeba troche uwazac, a czasem unikac - temat na dluzsza dyskusje, ktora moze zakonczmy teraz w tym watku, bo pewnie malo kogo to w tej chwili obchodzi :); W jaki sposób mogę sprawdzić poprawność działania tej części w Signal Tap? SigTap jest tak...

    Programowalne układy logiczne   01 Wrz 2008 11:45 Odpowiedzi: 26    Wyświetleń: 3064
  • Wybór zestawu Development Kit do nauki FPGA i VHDL dla początkującego

    Witam wszystkich, którzy tu zajrzeli. Zainteresowałem się trochę układami FPGA i chciałbym się dowiedzieć czegoś więcej na ich temat (tak, tak, wiem o linkach i już je mam). Na początek może kilka słów o sobie... Z zawodu jestem informatykiem, ale z charakteru wykonywanej pracy, raczej nie przez duże "I". To znaczy zajmuję się komputerami i czasami...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16778
  • Projekt ramienia robota z silnikami krokowymi i sterowaniem Altera

    sterowanie będzie oparte na procesorze sygnałowym firmy Altera a programowanie poprzez Quartus'a Jeśli ramię ma być sterowane przez układ FPGA radzę rzucić w kąt Atmegę i spróbować napisać kod w VHDL'u ,który obsłuży przynajmniej jeden silnik krokowy. Pozdrawiam i życzę sukcesów.

    Automatyka Przemysłowa   23 Lip 2012 00:25 Odpowiedzi: 41    Wyświetleń: 24990
  • Xilinx czy Altera? Koszt softu z Embeded System Design, DSP.

    Witam. Mam dosyć spore (ponad 10-letnie) doświadczenie z projektowaniem FPGA Xilinx'a różnej maści. Aktualnie pracuję na leciwym już trochę , ale spełniającym moje wymogi ISE7.1i . Do tej pory projektowałem specjalistyczne karty do PC (arbiter PCI + trochę różnego siana cyfrowego). No i nagle wynikła potrzeba zrobienia czegoś bardziej pogmatwanego -...

    Programowalne układy logiczne   09 Wrz 2010 12:41 Odpowiedzi: 11    Wyświetleń: 3841
  • Zapis/odczyt danych przez USB do płytki startowej Altery

    quartus ii handbook i rozdzial "tcl scripting"; jakkolwiek nie jest to bardzo skomplikowane, to jednak trudno wszystko opisac w kilkuzdaniowej notce; jestem tez pewien, ze na stronie altery [support/examples] znajdziesz sporo przykladow skryptow; J.A

    Programowalne układy logiczne   26 Mar 2010 17:47 Odpowiedzi: 17    Wyświetleń: 2928
  • Konwersja pliku .POF na .SVF dla CPLD Altera EPM3064ATC100

    Witam. Potrzebuję zaprogramować (skonfigurować) układ Altera EPM3064ATC100 Ogólnie jestem elektronikiem jednak moja wiedza na temat układów PLD/FPGA itp. jest mierna. Nie miałem wcześniej z nimi do czynienia i myślałem, że mnie to ominie jednak dopadło mnie to i mam problem. Próbowałem zaprogramować ten układ za pomocą programatora Wellon VP998 z adapterem...

    Programowalne układy logiczne   04 Cze 2020 17:56 Odpowiedzi: 1    Wyświetleń: 888